Discussion:
[N8VEM: 16660] Z80 Propeller Hybrid
James Moxham (Dr_Acula)
2013-12-11 12:06:45 UTC
Permalink
Inspired by John Coffman's superb single board design, I thought I would
also delve into a 4 layer PCB. Initial research with Seeed Studios suggest
that a 4 layer PCB of 100x160mm is only $10 more than a 2 layer PCB (for 5
boards, so $2 extra per board), so I thought I would run a design through
Eagle PCB's autorouter and see how it went. The conclusion of the
experiment is that 4 layers routes much quicker than 2 layers, and that
there are significantly less vias on a typical board (150 vs 500). Also, it
is possible to place the chips much closer, and hence pack a lot more
components onto a board.
So the challenge was to take the Prop I/O board and the standard Z80 sbc v2
board and try to merge them together.
There were a few compromises. Sadly, there was not room for the 8255 PIO.
But on the other hand, so many more goodies on the board. These are the
specifications:

* On board switching regulators (3V and 5V)
* Run from a wall wart 9V to 20V with only a few hundred mA current
consumption - no special supply needed
* 74HC chips so all chips run cool/cold
* No EPROM - saves on having to buy a pre programmed chip or a programmer
* Serial port with baud rates up to 115200 and higher
* SD card - gigabytes of storage
* TV output or VGA output. Use small 7" 'car reversing' TV screens or a
standard VGA monitor
* Keyboard
* Audio output (play .WAV files directly from the SD card)
* 512k ram
* ECB bus interface
* header for I2C bus for RTC, analog/digitial I/O etc
* buffered Keyboard/Serial Port I/O so Z80 is not tied up polling for input
data
* Program the propeller with a standard USB to Serial cable

I think this may be a useful single board design and I'm thinking of
getting some boards made. Thoughts/criticisms/ideas would be most
appreciated.

Cheers, James Moxham

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
Max Scane
2013-12-12 01:05:56 UTC
Permalink
Hi James,

That looks like a really interesting "all-in-one" design.

Some thoughts/ideas/questions below:

1 ECB interface. I don't think you need this as you have practically
everything on-board. This seems more like a stand-alone board to me.
Eliminating the ECB interface also frees up some space and removes the
form-factor dependency.

2. I would suggest finding some room to include the 82C55. It is just such
a versatile chip. Perhaps the PLCC version would save space?

3. With the 82C55 in place you could add an on-board PPIDE (40 pin socket
and 74LS14) like the N8 does. This would give you access to CF and IDE
drives. Having access to two types of media allows one to be used for data
interchange (ie. floppy replacement).

4. Perhaps change the form factor to one of the small PC types (eg. Mini
ITX)? This would give you access to lots of ready made cases and power
supplies. Finding/making a case is always a problem I have with these
designs.

5. RAM Bootstrap. I noticed that your bootstrap chip drives the low order
address lines A<7:0>. How do you drive the high order lines? Presumably
you are holding the Z80 in reset and manipulating the Z80's data,address
and control lines to load up some basic boot code. I thought there might
be some sort of pull-down on the higher address lines but I can't see any.

Just my 2c worth...

Cheers!


Max


On Wed, Dec 11, 2013 at 11:06 PM, James Moxham (Dr_Acula) <
moxhamj-CkBdp7X+***@public.gmane.org> wrote:

> Inspired by John Coffman's superb single board design, I thought I would
> also delve into a 4 layer PCB. Initial research with Seeed Studios suggest
> that a 4 layer PCB of 100x160mm is only $10 more than a 2 layer PCB (for 5
> boards, so $2 extra per board), so I thought I would run a design through
> Eagle PCB's autorouter and see how it went. The conclusion of the
> experiment is that 4 layers routes much quicker than 2 layers, and that
> there are significantly less vias on a typical board (150 vs 500). Also, it
> is possible to place the chips much closer, and hence pack a lot more
> components onto a board.
> So the challenge was to take the Prop I/O board and the standard Z80 sbc
> v2 board and try to merge them together.
> There were a few compromises. Sadly, there was not room for the 8255 PIO.
> But on the other hand, so many more goodies on the board. These are the
> specifications:
>
> * On board switching regulators (3V and 5V)
> * Run from a wall wart 9V to 20V with only a few hundred mA current
> consumption - no special supply needed
> * 74HC chips so all chips run cool/cold
> * No EPROM - saves on having to buy a pre programmed chip or a programmer
> * Serial port with baud rates up to 115200 and higher
> * SD card - gigabytes of storage
> * TV output or VGA output. Use small 7" 'car reversing' TV screens or a
> standard VGA monitor
> * Keyboard
> * Audio output (play .WAV files directly from the SD card)
> * 512k ram
> * ECB bus interface
> * header for I2C bus for RTC, analog/digitial I/O etc
> * buffered Keyboard/Serial Port I/O so Z80 is not tied up polling for
> input data
> * Program the propeller with a standard USB to Serial cable
>
> I think this may be a useful single board design and I'm thinking of
> getting some boards made. Thoughts/criticisms/ideas would be most
> appreciated.
>
> Cheers, James Moxham
>
> --
> You received this message because you are subscribed to the Google Groups
> "N8VEM" group.
> To unsubscribe from this group and stop receiving emails from it, send an
> email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> Visit this group at http://groups.google.com/group/n8vem.
> For more options, visit https://groups.google.com/groups/opt_out.
>

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
Wayne Warthen
2013-12-12 17:56:44 UTC
Permalink
Hi James,

Definitely a creative approach. The only thing I noticed on the schematic
was that the two I2C slave devices (RAM and I/O expander) seem to have the
same device address of zero. I think they would need to have different
addresses.

--Wayne

On Wednesday, December 11, 2013 4:06:45 AM UTC-8, James Moxham (Dr_Acula)
wrote:
>
> Inspired by John Coffman's superb single board design, I thought I would
> also delve into a 4 layer PCB. Initial research with Seeed Studios suggest
> that a 4 layer PCB of 100x160mm is only $10 more than a 2 layer PCB (for 5
> boards, so $2 extra per board), so I thought I would run a design through
> Eagle PCB's autorouter and see how it went. The conclusion of the
> experiment is that 4 layers routes much quicker than 2 layers, and that
> there are significantly less vias on a typical board (150 vs 500). Also, it
> is possible to place the chips much closer, and hence pack a lot more
> components onto a board.
> So the challenge was to take the Prop I/O board and the standard Z80 sbc
> v2 board and try to merge them together.
> There were a few compromises. Sadly, there was not room for the 8255 PIO.
> But on the other hand, so many more goodies on the board. These are the
> specifications:
>
> * On board switching regulators (3V and 5V)
> * Run from a wall wart 9V to 20V with only a few hundred mA current
> consumption - no special supply needed
> * 74HC chips so all chips run cool/cold
> * No EPROM - saves on having to buy a pre programmed chip or a programmer
> * Serial port with baud rates up to 115200 and higher
> * SD card - gigabytes of storage
> * TV output or VGA output. Use small 7" 'car reversing' TV screens or a
> standard VGA monitor
> * Keyboard
> * Audio output (play .WAV files directly from the SD card)
> * 512k ram
> * ECB bus interface
> * header for I2C bus for RTC, analog/digitial I/O etc
> * buffered Keyboard/Serial Port I/O so Z80 is not tied up polling for
> input data
> * Program the propeller with a standard USB to Serial cable
>
> I think this may be a useful single board design and I'm thinking of
> getting some boards made. Thoughts/criticisms/ideas would be most
> appreciated.
>
> Cheers, James Moxham
>
>

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
Sergey
2013-12-12 20:34:15 UTC
Permalink
Interesting design. The board is a bit to crowded though. I agree with Max,
it is possible that ECB bus is not needed, and in this case you can make
the board less crowded. Another possibility is to make the board a bit
bigger...

I actually some time ago I proposed a design
(http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder&param=Epsilon%20SBC)
of SBC with on-board propeller. But then I shifted to ParPortProp idea...

Just yesterday I was thinking about resurrecting this idea:
- A beginner friendly single board computer. Something like Zeta SBC.
- Include VGA and PS/2 keyboard interface and SD card storage using
Propeller. (BTW, can we do any better here? What about USB?... maybe it is
possible to use some of AVR or PIC family controllers for USB interfacing?)
- Drop floppy interface. With all due respect to floppies it is getting
very difficult to get components for it (FDCs, drives, floppies, and a PC
that could be used to write floppies). They also make design bulky and less
friendly.
- I am still debating wherever to include a connector to the Z80 bus... so
that users have a possibility to expand the board if they really want to.
It could be a similar to ECB bus, but without buffers, and possibly with
some chip selects. So that a one or maybe two additional devices can be
connected. (Or it is possible to build a bus expander with buffers). This
will address "some users might want PPI/FDC/ADC/whatever other peripheral"
issue.
- It could be designed into Nano-ITX form factor (120 mm x120 mm).

Any ideas here?

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
Andrew Lynch
2013-12-12 21:13:52 UTC
Permalink
Please don't remove the ECB connector. Make the board bigger if you must
like use the new 6x0x format. Disconnecting from the ECB makes it
standalone and isolated from the rest of the ECB peripherals. Hobbyists
should be allowed to expand as they want not be forced to use just a fixed
set of peripherals. Connecting to an ECB, ISA, or S-100 backplane allows
for future expansion that an all-in-one SBC will never have. IE floppy
disks, uPD7220 GDC, memory and IO expansion, etc.



A compromise would be to complete the Z80 socket to ECB connector project
for the Zeta and make sure it worked on the new PropZ80 hybrid also. Then
there would at least be an option for ECB bus expansion.



Thanks and have a nice day!

Andrew Lynch







From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of
Sergey
Sent: Thursday, December 12, 2013 3:34 PM
To: n8vem-/***@public.gmane.org
Subject: [N8VEM: 16666] Re: Z80 Propeller Hybrid



Interesting design. The board is a bit to crowded though. I agree with Max,
it is possible that ECB bus is not needed, and in this case you can make the
board less crowded. Another possibility is to make the board a bit bigger...

I actually some time ago I proposed a design
(http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder
<http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder&param=Epsilon%20SBC>
&param=Epsilon%20SBC) of SBC with on-board propeller. But then I shifted to
ParPortProp idea...

Just yesterday I was thinking about resurrecting this idea:
- A beginner friendly single board computer. Something like Zeta SBC.
- Include VGA and PS/2 keyboard interface and SD card storage using
Propeller. (BTW, can we do any better here? What about USB?... maybe it is
possible to use some of AVR or PIC family controllers for USB interfacing?)
- Drop floppy interface. With all due respect to floppies it is getting very
difficult to get components for it (FDCs, drives, floppies, and a PC that
could be used to write floppies). They also make design bulky and less
friendly.
- I am still debating wherever to include a connector to the Z80 bus... so
that users have a possibility to expand the board if they really want to. It
could be a similar to ECB bus, but without buffers, and possibly with some
chip selects. So that a one or maybe two additional devices can be
connected. (Or it is possible to build a bus expander with buffers). This
will address "some users might want PPI/FDC/ADC/whatever other peripheral"
issue.
- It could be designed into Nano-ITX form factor (120 mm x120 mm).

Any ideas here?

--
You received this message because you are subscribed to the Google Groups
"N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
John Coffman
2013-12-12 22:46:30 UTC
Permalink
Expansion is limited to a system without card slots.

If the board is plugged into a card case, connectors along the long
edges of the board, such as VGA 15-pin, key/mouse, sound would not be
accessible. Although, the presence of those connectors along the edge
make using a card case just about impossible.

Wolfgang led the charge to have 0.1" space along the top and bottom of
the cards to allow for card guides.

The 6x0x board form factor, the best of VME and ATX, would be
appropriate. The long edge of the board has a lot of space for connectors.

--John




On 12/12/2013 01:13 PM, Andrew Lynch wrote:
>
> Please don’t remove the ECB connector. Make the board bigger if you
> must like use the new 6x0x format. Disconnecting from the ECB makes it
> standalone and isolated from the rest of the ECB peripherals.
> Hobbyists should be allowed to expand as they want not be forced to
> use just a fixed set of peripherals. Connecting to an ECB, ISA, or
> S-100 backplane allows for future expansion that an all-in-one SBC
> will never have. IE floppy disks, uPD7220 GDC, memory and IO
> expansion, etc.
>
> A compromise would be to complete the Z80 socket to ECB connector
> project for the Zeta and make sure it worked on the new PropZ80 hybrid
> also. Then there would at least be an option for ECB bus expansion.
>
> Thanks and have a nice day!
>
> Andrew Lynch
>
> *From:*n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] *On
> Behalf Of *Sergey
> *Sent:* Thursday, December 12, 2013 3:34 PM
> *To:* n8vem-/***@public.gmane.org
> *Subject:* [N8VEM: 16666] Re: Z80 Propeller Hybrid
>
> Interesting design. The board is a bit to crowded though. I agree with
> Max, it is possible that ECB bus is not needed, and in this case you
> can make the board less crowded. Another possibility is to make the
> board a bit bigger...
>
> I actually some time ago I proposed a design
> (http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder&param=Epsilon%20SBC
> <http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder&param=Epsilon%20SBC>)
> of SBC with on-board propeller. But then I shifted to ParPortProp idea...
>
> Just yesterday I was thinking about resurrecting this idea:
> - A beginner friendly single board computer. Something like Zeta SBC.
> - Include VGA and PS/2 keyboard interface and SD card storage using
> Propeller. (BTW, can we do any better here? What about USB?... maybe
> it is possible to use some of AVR or PIC family controllers for USB
> interfacing?)
> - Drop floppy interface. With all due respect to floppies it is
> getting very difficult to get components for it (FDCs, drives,
> floppies, and a PC that could be used to write floppies). They also
> make design bulky and less friendly.
> - I am still debating wherever to include a connector to the Z80
> bus... so that users have a possibility to expand the board if they
> really want to. It could be a similar to ECB bus, but without buffers,
> and possibly with some chip selects. So that a one or maybe two
> additional devices can be connected. (Or it is possible to build a bus
> expander with buffers). This will address "some users might want
> PPI/FDC/ADC/whatever other peripheral" issue.
> - It could be designed into Nano-ITX form factor (120 mm x120 mm).
>
> Any ideas here?
>
> --
> You received this message because you are subscribed to the Google
> Groups "N8VEM" group.
> To unsubscribe from this group and stop receiving emails from it, send
> an email to n8vem+unsubscribe-/***@public.gmane.org
> <mailto:n8vem+unsubscribe-/***@public.gmane.org>.
> To post to this group, send email to n8vem-/***@public.gmane.org
> <mailto:n8vem-/***@public.gmane.org>.
> Visit this group at http://groups.google.com/group/n8vem.
> For more options, visit https://groups.google.com/groups/opt_out.
>
> --
> You received this message because you are subscribed to the Google
> Groups "N8VEM" group.
> To unsubscribe from this group and stop receiving emails from it, send
> an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> Visit this group at http://groups.google.com/group/n8vem.
> For more options, visit https://groups.google.com/groups/opt_out.

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
Max Scane
2013-12-13 05:18:50 UTC
Permalink
I think the idea behind the "all-in-one" type of board is for more for
standalone use where the majority of the needed peripherals are on-board.
This approach also keeps the costs down for new users but has the
trade-off of not supporting a "bussed" system and the standard enclosures.
This type of board provides a good introduction, but for the full
"experience" you need to go for something with a buss (ie. S100 or ECB).

I agree that getting access to other peripherals (eg. floppy drives) maybe
an occasional requirement, but I think there needs to be a more simplified
interconnect that keeps the cost, complexity and board space down.

I think the approach taken with the Zeta and the ParPortProp is the way to
go for these SBCs. The 82C55 is still very versatile and it can operate in
a bit-bang (eg. PPIDE) or duplex operation allowing for simple or
intelligent expansion options.

Maybe take all the ECB buffers and put them on a small card with an ECB
connector that plugs into a backplane and connects to the SBC via a header?

Of course the other option for access to other peripherals would be to use
a network such as cp/net with a fast interconnect (high speed serial,
parallel etc).

I would suggest that a high priority for any SBC design would be to include
multiple connectivity options to allow for peripheral sharing/interconnect.

Max

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
Andrew Lynch
2013-12-13 11:33:54 UTC
Permalink
Hi
 
Yes, that is the idea behind the Z80 socket to ECB board we were working on while back.  Basically a socket shim that allows the Zeta to connect to the ECB.  A small PCB that fits in to the Z80 socket with a ribbon cable to an ECB backplane.  A similar idea would work with the new hybrid.  However the project has to be completed and it is on hold since I basically ran out of time to work on it.
 
My main issue with the standalone SBCs is that they promote a series of isolated fiefdoms that are not able to share or leverage the work on other boards without making more unique specialized boards.  For instance there is a lot of duplication between the Zeta ParPortProp and the ECB PropIO board. 
 
Multiple duplicative boards basically reduces demand for each board that they are not viable to produce.  I am not carrying a lot of PCBs anymore since they are not viable.  Without the ECB there would be no way for this new board to ever access the uPD7220 GDC or Cassette IO board without starting from scratch.  I can't afford to produce boards that just sit on a shelf.
 
I chose the ECB as a bus interconnect for the original N8VEM SBC since it was a recognizeable standard and relatively low cost to implement.  It has its problems but overall has been pretty good.  It is certainly easier to work with than S-100/IEEE-696.  In my view, isolated stand alone SBCs are "local optimizing" at the expense of the rest of the project overall.
 
Thanks and have a nice day!

Andrew Lynch

From: Max Scane <mjscane-***@public.gmane.org>
To: N8VEM <n8vem-/***@public.gmane.org>
Sent: Friday, December 13, 2013 12:18 AM
Subject: Re: [N8VEM: 16668] Re: Z80 Propeller Hybrid


[snip]


Maybe take all the ECB buffers and put them on a small card with an ECB connector that plugs into a backplane and connects to the SBC via a header?

[snip]

Max 

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
John Coffman
2013-12-13 15:47:48 UTC
Permalink
On 12/13/2013 03:33 AM, Andrew Lynch wrote:
> Without the ECB there would be no way for this new board to ever
> access the uPD7220 GDC or Cassette IO board without starting from scratch

Amen.

Some of the reasoning behind the new Z180 SBC Mark IV board (ECB, 100mm
x 160mm) was to have a board that stands on its own: serial I/O, SD
card, IDE CF interface, but which connects to the ECB bus for: Color
VDU, Hard Disk & Floppy I/O (Disk I/O v3 or Dual IDE w/ Floppy), MF/PIC
(IM 2, SIO, PPIDE, uPD7220 graphics, etc.

Expansion boards are nice projects in themselves, and it is fun to see a
system grow down the length of a backplane.

--John
James Moxham
2013-12-13 22:52:47 UTC
Permalink
Thankyou for all the replies! I love this collaborative approach to
building things.

1) - yes, it looks like I forgot the pullups on the address lines. I'll
add these in.
2) re bootstrap only driving the lower address lines, adding more address
lines requires another MCP23017. I figured you only need to bootstrap a
tiny program - maybe 20 bytes or so. It just needs to be enough to start
talking via the data lines to the propeller chip. Then a larger bootstrap
program can be sent in parallel via the data lines, which will be a lot
faster than sending data via the I2C bus. That is the theory, anyway!
3) I think the two I2C slave devices should be ok on the same bus. I have
other boards with multiple devices on the I2C bus including eeprom and I/O
expander chips.
4) I note comments that i) the board is very crowded and ii) can we put
more chips on the board? That makes me smile :)
5) There ? might be room for an 8255. Certainly 4 layer boards can pack a
lot more in than 2 layer - I'll try putting chips even closer together and
see what happens.
6) Re the ECB bus, I have a number of Z80 boards sitting in my shed, but
like Andrew says, they end up becoming orphans if they are not part of a
system. So as an example, say I can't fit the 8255 on the board, well
maybe that doesn't matter because one can always get a backplane board and
an 8255 board. One thing I have done though is group all the ECB bus chips
at one end of the board, so it is easy to build a board and leave those
chips out. The board will still work fine.
7) John Coffman raised the issue of connectors along the edges. This is a
real problem and I am not sure of the answer. If one end of the board is
devoted to the ECB bus, and the two long sides are for the card guides,
that only leaves one end of the card. There isn't enough room for things
like an SD card, serial port, VGA and keyboard.

If you put these on the board as with this design, is the card already an
'orphan' because it can't fit into the card guides?

Is it worth thinking of another solution? eg bring out these things to
headers and then to separate (small) board(s)? But then that adds to
Andrew's PCB inventory. Then again, if you look at the picture on the
N8VEM page http://n8vem-sbc.pbworks.com/w/page/4200908/FrontPage that
numeric keypad can't fit in a card guide otherwise you can't push the
buttons very easily.

I have to think about this some more.
Andrew Lynch
2013-12-14 02:35:57 UTC
Permalink
Hi

If you use the new ATX/6U form factor it is about 9"x6" which should have
plenty of space for your design and allow using 2 layer PCB construction.
There would be sufficient room for some additional chips and the ability to
use ATX cases, 6U chassis, ATX power supplies, etc.

The new form factor allows for about 58 square inches of PCB real estate
whereas the original Eurocard format provides approximately 25 square
inches.

The upcoming 6x0x SBC is the first board using the new format. Hopefully
this will allow for a smaller number of better more functionally complete
boards in the future. The legacy ECB boards can still be used on the ECB
backplane.

http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder&param=ECB%206x0x

Thanks and have a nice day!

Andrew Lynch

> -----Original Message-----
> From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On
> Behalf Of James Moxham
> Sent: Friday, December 13, 2013 5:53 PM
> To: n8vem-/***@public.gmane.org
> Subject: Re: [N8VEM: 16677] Re: Z80 Propeller Hybrid
>
> Thankyou for all the replies! I love this collaborative approach to
building
> things.
>
> 1) - yes, it looks like I forgot the pullups on the address lines. I'll
add these in.
> 2) re bootstrap only driving the lower address lines, adding more address
> lines requires another MCP23017. I figured you only need to bootstrap a
tiny
> program - maybe 20 bytes or so. It just needs to be enough to start
talking via
> the data lines to the propeller chip. Then a larger bootstrap program can
be
> sent in parallel via the data lines, which will be a lot faster than
sending data
> via the I2C bus. That is the theory, anyway!
> 3) I think the two I2C slave devices should be ok on the same bus. I have
> other boards with multiple devices on the I2C bus including eeprom and I/O
> expander chips.
> 4) I note comments that i) the board is very crowded and ii) can we put
more
> chips on the board? That makes me smile :)
> 5) There ? might be room for an 8255. Certainly 4 layer boards can pack a
lot
> more in than 2 layer - I'll try putting chips even closer together and see
what
> happens.
> 6) Re the ECB bus, I have a number of Z80 boards sitting in my shed, but
like
> Andrew says, they end up becoming orphans if they are not part of a
system.
> So as an example, say I can't fit the 8255 on the board, well maybe that
> doesn't matter because one can always get a backplane board and an 8255
> board. One thing I have done though is group all the ECB bus chips at one
end
> of the board, so it is easy to build a board and leave those chips out.
The
> board will still work fine.
> 7) John Coffman raised the issue of connectors along the edges. This is a
real
> problem and I am not sure of the answer. If one end of the board is
devoted
> to the ECB bus, and the two long sides are for the card guides, that only
> leaves one end of the card. There isn't enough room for things like an SD
> card, serial port, VGA and keyboard.
>
> If you put these on the board as with this design, is the card already an
> 'orphan' because it can't fit into the card guides?
>
> Is it worth thinking of another solution? eg bring out these things to
headers
> and then to separate (small) board(s)? But then that adds to Andrew's PCB
> inventory. Then again, if you look at the picture on the N8VEM page
> http://n8vem-sbc.pbworks.com/w/page/4200908/FrontPage that numeric
> keypad can't fit in a card guide otherwise you can't push the buttons very
> easily.
>
> I have to think about this some more.
>
> --
> You received this message because you are subscribed to the Google Groups
> "N8VEM" group.
> To unsubscribe from this group and stop receiving emails from it, send an
> email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> Visit this group at http://groups.google.com/group/n8vem.
> For more options, visit https://groups.google.com/groups/opt_out.
James Moxham (Dr_Acula)
2013-12-14 11:36:34 UTC
Permalink
Hi Andrew,

I'll check out the new form factor.

Though in some ways, designing these boards is a bit of a challenge - like
a puzzle - how much can you squeeze into a certain space :)

One problem though - I use a student licence for Eagle, and it cost $125
and allows boards up to 160x100mm which is exactly the same size as the
N8VEM boards. Much better than the free 80x80mm, but the next price break
for larger boards is something like $800 and that is a bit out of my price
range. I guess I can use the package you use, but I have got used to Eagle
and all its strange quirks.

So I had another idea.

Instead of using an ECB bus rack with cards that slide into slots, could
you instead use some threaded rod and run it up through all the holes in
the boards and put nuts on each board to hold it in place? That would give
a secure mechanical connection between boards, and ought to cost less than
some of the custom cases too?

Ok, maybe it is a bit unorthodox, but it could be one way to allow
peripheral sockets around the edge of boards.

So... if I did this, I need the holes to line up. On the SBC v2 board, if
you take the bottom left corner as the origin, 0,0, and measure everything
in inches, would you be able to kindly tell me the x,y location of the 4
holes on the board? I'll then be able to put my holes in the correct
locations.

Cheers,

James

ps - added 10k pullups to A8-A15. Autorouter still solving the whole board
in a couple of minutes. But I doubt there is room for an 8255 sadly.

On Saturday, 14 December 2013 13:05:57 UTC+10:30, lynchaj wrote:
>
> Hi
>
> If you use the new ATX/6U form factor it is about 9"x6" which should have
> plenty of space for your design and allow using 2 layer PCB construction.
> There would be sufficient room for some additional chips and the ability
> to
> use ATX cases, 6U chassis, ATX power supplies, etc.
>
> The new form factor allows for about 58 square inches of PCB real estate
> whereas the original Eurocard format provides approximately 25 square
> inches.
>
> The upcoming 6x0x SBC is the first board using the new format. Hopefully
> this will allow for a smaller number of better more functionally complete
> boards in the future. The legacy ECB boards can still be used on the ECB
> backplane.
>
> http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder&param=ECB%206x0x
>
> Thanks and have a nice day!
>
> Andrew Lynch
>
>
>

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
John Coffman
2013-12-14 15:47:28 UTC
Permalink
James,

The drill hole idea might work except for one fact: many N8VEM boards
do not have mounting holes. Those that do, probably do not have them in
the same places. The cost of adding mounting holes to existing boards
would mean a new drill file for each board, and a new drill file is a
re-engineering charge. The cost would be prohibitive.

RE: software

Many of us are using the Kicad freeware. Versions are available for
both Linux and Windows. It does not have a router, but it interfaces to
"freeroute.net," which is a free Java based router. You can't beat the
price.

RE: edge-facing connectors

I faced the exact same problem with the Z180 SBC Mark IV board. The
connector problem was addressed by placing the IDE and SDcard connectors
on the 100mm edge of the board. Like the other N8VEM boards, serial I/O
comes out through a 10-pin header; no problem placing it. The modular
connector for twisted pair h.s. communication was the problem. The best
compromise was to specify a "top entry" connector. This may require an
adjacent card slot to be left empty, but otherwise there is no physical
interference.

The board you propose would have plenty of connector space in an ATX
case, which the 6x0x 6U/VME form factor makes use of.

--John




On 12/14/2013 03:36 AM, James Moxham (Dr_Acula) wrote:
> Hi Andrew,
>
> I'll check out the new form factor.
>
> Though in some ways, designing these boards is a bit of a challenge -
> like a puzzle - how much can you squeeze into a certain space :)
>
> One problem though - I use a student licence for Eagle, and it cost
> $125 and allows boards up to 160x100mm which is exactly the same size
> as the N8VEM boards. Much better than the free 80x80mm, but the next
> price break for larger boards is something like $800 and that is a bit
> out of my price range. I guess I can use the package you use, but I
> have got used to Eagle and all its strange quirks.
>
> So I had another idea.
>
> Instead of using an ECB bus rack with cards that slide into slots,
> could you instead use some threaded rod and run it up through all the
> holes in the boards and put nuts on each board to hold it in place?
> That would give a secure mechanical connection between boards, and
> ought to cost less than some of the custom cases too?
>
> Ok, maybe it is a bit unorthodox, but it could be one way to allow
> peripheral sockets around the edge of boards.
>
> So... if I did this, I need the holes to line up. On the SBC v2 board,
> if you take the bottom left corner as the origin, 0,0, and measure
> everything in inches, would you be able to kindly tell me the x,y
> location of the 4 holes on the board? I'll then be able to put my
> holes in the correct locations.
>
> Cheers,
>
> James
>
> ps - added 10k pullups to A8-A15. Autorouter still solving the whole
> board in a couple of minutes. But I doubt there is room for an 8255 sadly.
>
> On Saturday, 14 December 2013 13:05:57 UTC+10:30, lynchaj wrote:
>
> Hi
>
> If you use the new ATX/6U form factor it is about 9"x6" which
> should have
> plenty of space for your design and allow using 2 layer PCB
> construction.
> There would be sufficient room for some additional chips and the
> ability to
> use ATX cases, 6U chassis, ATX power supplies, etc.
>
> The new form factor allows for about 58 square inches of PCB real
> estate
> whereas the original Eurocard format provides approximately 25 square
> inches.
>
> The upcoming 6x0x SBC is the first board using the new format.
> Hopefully
> this will allow for a smaller number of better more functionally
> complete
> boards in the future. The legacy ECB boards can still be used on
> the ECB
> backplane.
>
> http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder&param=ECB%206x0x
> <http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder&param=ECB%206x0x>
>
>
> Thanks and have a nice day!
>
> Andrew Lynch
>
>
> --
> You received this message because you are subscribed to the Google
> Groups "N8VEM" group.
> To unsubscribe from this group and stop receiving emails from it, send
> an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> Visit this group at http://groups.google.com/group/n8vem.
> For more options, visit https://groups.google.com/groups/opt_out.
James Moxham
2013-12-14 22:02:06 UTC
Permalink
Thanks John,

Some great ideas there

ok - if the drill holes are not standardised, that could be useful as I
can move the drill holes back a little from the edge. That frees up more
space along the edge for sockets.

Next idea of yours - use the 10 pin header for the serial port and then a
DB9 socket doesn't need to be on the edge.

I tested this and now along the edge I can fit:
* DC socket
* Stereo audio socket
* RCA TV socket
* VGA socket
* Keyboard socket

That just leaves the SD socket.

Well, that is taking a lot of space up on the board. Why not go vertical
with a micro SD board?

eg
http://www.ebay.com.au/itm/Micro-SD-Card-Development-Kit-Storage-Memory-Board-/250885836925?pt=LH_DefaultDomain_0&hash=item3a69f6107d

That frees up some board real estate too. Maybe just enough to put in a
PLCC 8255??

Hey, this could work. I'm smiling now :)

How much space is needed along the card edges for the card slot?

James





On Sun, 15 Dec 2013 02:17:28 +1030, John Coffman <johninsd-***@public.gmane.org>
wrote:

> James,
>
> The drill hole idea might work except for one fact: many N8VEM boards
> do not have mounting holes. Those that do, probably do not have them in
> the same places. The cost of adding mounting holes to existing boards
> would mean a new drill file for each board, and a new drill file is a
> re-engineering charge. The cost would be prohibitive.
>
> RE: software
>
> Many of us are using the Kicad freeware. Versions are available for
> both Linux and Windows. It does not have a router, but it interfaces to
> "freeroute.net," which is a free Java based router. You can't beat the
> price.
>
> RE: edge-facing connectors
>
> I faced the exact same problem with the Z180 SBC Mark IV board. The
> connector problem was addressed by placing the IDE and SDcard connectors
> on the 100mm edge of the board. Like the other N8VEM boards, serial I/O
> comes out through a 10-pin header; no problem placing it. The modular
> connector for twisted pair h.s. communication was the problem. The best
> compromise was to specify a "top entry" connector. This may require an
> adjacent card slot to be left empty, but otherwise there is no physical
> interference.
>
> The board you propose would have plenty of connector space in an ATX
> case, which the 6x0x 6U/VME form factor makes use of.
>
> --John
>
>
>
>
> On 12/14/2013 03:36 AM, James Moxham (Dr_Acula) wrote:
>> Hi Andrew,
>>
>> I'll check out the new form factor.
>>
>> Though in some ways, designing these boards is a bit of a challenge -
>> like a puzzle - how much can you squeeze into a certain space :)
>>
>> One problem though - I use a student licence for Eagle, and it cost
>> $125 and allows boards up to 160x100mm which is exactly the same size
>> as the N8VEM boards. Much better than the free 80x80mm, but the next
>> price break for larger boards is something like $800 and that is a bit
>> out of my price range. I guess I can use the package you use, but I
>> have got used to Eagle and all its strange quirks.
>>
>> So I had another idea.
>>
>> Instead of using an ECB bus rack with cards that slide into slots,
>> could you instead use some threaded rod and run it up through all the
>> holes in the boards and put nuts on each board to hold it in place?
>> That would give a secure mechanical connection between boards, and
>> ought to cost less than some of the custom cases too?
>>
>> Ok, maybe it is a bit unorthodox, but it could be one way to allow
>> peripheral sockets around the edge of boards.
>>
>> So... if I did this, I need the holes to line up. On the SBC v2 board,
>> if you take the bottom left corner as the origin, 0,0, and measure
>> everything in inches, would you be able to kindly tell me the x,y
>> location of the 4 holes on the board? I'll then be able to put my
>> holes in the correct locations.
>>
>> Cheers,
>>
>> James
>>
>> ps - added 10k pullups to A8-A15. Autorouter still solving the whole
>> board in a couple of minutes. But I doubt there is room for an 8255
>> sadly.
>>
>> On Saturday, 14 December 2013 13:05:57 UTC+10:30, lynchaj wrote:
>>
>> Hi
>>
>> If you use the new ATX/6U form factor it is about 9"x6" which
>> should have
>> plenty of space for your design and allow using 2 layer PCB
>> construction.
>> There would be sufficient room for some additional chips and the
>> ability to
>> use ATX cases, 6U chassis, ATX power supplies, etc.
>>
>> The new form factor allows for about 58 square inches of PCB real
>> estate
>> whereas the original Eurocard format provides approximately 25
>> square
>> inches.
>>
>> The upcoming 6x0x SBC is the first board using the new format.
>> Hopefully
>> this will allow for a smaller number of better more functionally
>> complete
>> boards in the future. The legacy ECB boards can still be used on
>> the ECB
>> backplane.
>>
>> http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder&param=ECB%206x0x
>> <http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder&param=ECB%206x0x>
>>
>>
>> Thanks and have a nice day!
>>
>> Andrew Lynch
>>
>>
>> --
>> You received this message because you are subscribed to the Google
>> Groups "N8VEM" group.
>> To unsubscribe from this group and stop receiving emails from it, send
>> an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
>> To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
>> Visit this group at http://groups.google.com/group/n8vem.
>> For more options, visit https://groups.google.com/groups/opt_out.
>


--
Using Opera's mail client: http://www.opera.com/mail/
Andrew Lynch
2013-12-15 00:48:32 UTC
Permalink
Hi James! Thanks!

Yes, I think that would work but only the two holes on the DIN 49162
connector are consistent enough to support the stacking. It would work
though. You don't need a DIN 49162 connector and could just as easily hard
wire all the bus connections together although removing a board would be
very difficult if you did that.



The ECB bus is a Kontron standard and is very popular in Europe. It is a
good design which I shamelessly borrowed for the N8VEM system. No point in
reinventing the wheel when there is already a superior technical solution.
It is *much* easier to work with than S-100/IEEE-696 and plus the DIN 49162
connectors are gas-tight. Anyone who maintains old equipment can appreciate
what that means!

Thanks and have a nice day!

Andrew Lynch



From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of
James Moxham (Dr_Acula)
Sent: Saturday, December 14, 2013 6:37 AM
To: n8vem-/***@public.gmane.org
Subject: Re: [N8VEM: 16688] Re: Z80 Propeller Hybrid



Hi Andrew,



I'll check out the new form factor.



Though in some ways, designing these boards is a bit of a challenge - like a
puzzle - how much can you squeeze into a certain space :)



One problem though - I use a student licence for Eagle, and it cost $125 and
allows boards up to 160x100mm which is exactly the same size as the N8VEM
boards. Much better than the free 80x80mm, but the next price break for
larger boards is something like $800 and that is a bit out of my price
range. I guess I can use the package you use, but I have got used to Eagle
and all its strange quirks.



So I had another idea.



Instead of using an ECB bus rack with cards that slide into slots, could you
instead use some threaded rod and run it up through all the holes in the
boards and put nuts on each board to hold it in place? That would give a
secure mechanical connection between boards, and ought to cost less than
some of the custom cases too?



Ok, maybe it is a bit unorthodox, but it could be one way to allow
peripheral sockets around the edge of boards.



So... if I did this, I need the holes to line up. On the SBC v2 board, if
you take the bottom left corner as the origin, 0,0, and measure everything
in inches, would you be able to kindly tell me the x,y location of the 4
holes on the board? I'll then be able to put my holes in the correct
locations.



Cheers,



James



ps - added 10k pullups to A8-A15. Autorouter still solving the whole board
in a couple of minutes. But I doubt there is room for an 8255 sadly.


On Saturday, 14 December 2013 13:05:57 UTC+10:30, lynchaj wrote:

Hi

If you use the new ATX/6U form factor it is about 9"x6" which should have
plenty of space for your design and allow using 2 layer PCB construction.
There would be sufficient room for some additional chips and the ability to
use ATX cases, 6U chassis, ATX power supplies, etc.

The new form factor allows for about 58 square inches of PCB real estate
whereas the original Eurocard format provides approximately 25 square
inches.

The upcoming 6x0x SBC is the first board using the new format. Hopefully
this will allow for a smaller number of better more functionally complete
boards in the future. The legacy ECB boards can still be used on the ECB
backplane.

http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder
<http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder&param=ECB%206x0x>
&param=ECB%206x0x

Thanks and have a nice day!

Andrew Lynch



--
You received this message because you are subscribed to the Google Groups
"N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
James Moxham (Dr_Acula)
2013-12-15 10:04:11 UTC
Permalink
I've taken on board the great suggestions above. Attached is the latest
design. I've managed to get all the I/O sockets on the left side of the
board. To do this needed to do two changes. First, use a micro SD board (eg
http://www.ebay.com.au/itm/Micro-SD-Storage-Board-Mciro-SD-TF-Card-Memory-Shield-Module-SPI-For-Arduino-/400624394309?pt=AU_B_I_Electrical_Test_Equipment&hash=item5d47132045
) and the second thing is to make the serial port D9 header vertical.
The other option is to use the 10 way header used on the N8VEM board. I
didn't do this because the serial port doesn't need to talk to a terminal,
as there is a keyboard and two display options. So the main use of the D9
serial socket is to program the propeller chip, and once that is done it
may not be needed any more. Although it could be used if needed.
I moved all the chips in from the edge so there is room to slide into a
card slot.
Thoughts would be most appreciated!

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
John Coffman
2013-12-15 15:05:19 UTC
Permalink
James,

I see you went to a vertical connector for the DB-9. :-)

A couple of items, RE: Eurocard standards.

The board dimensions, as you know, are 100mm x 160mm. In inches,
this is 3.94" x 6.3". The center of the 96-pin DIN 41612 connector
should be 50mm from the top of the board; i.e., centered exactly.
Earlier N8VEM boards have it off-center, and use a board width of
3.95". Not a big deal, but 3.94" is closer to 100mm by a hair, and
divides in half evenly to 1.97", which is a multiple of 10mils, a common
grid size. Also, the centerline of the DIN mounting holes should be
back from the board right edge by up to 0.109". To leave a little
leeway for card fabrication variations, I suggest putting the centerline
back from the edge at 0.105". This dimension is not too critical, so
the older N8VEM placement back 0.100" is not bad either.

Centering the DIN connector vertically is my main suggestion.

--John



On 12/15/2013 02:04 AM, James Moxham (Dr_Acula) wrote:
> I've taken on board the great suggestions above. Attached is the
> latest design. I've managed to get all the I/O sockets on the left
> side of the board. To do this needed to do two changes. First, use a
> micro SD board (eg
> http://www.ebay.com.au/itm/Micro-SD-Storage-Board-Mciro-SD-TF-Card-Memory-Shield-Module-SPI-For-Arduino-/400624394309?pt=AU_B_I_Electrical_Test_Equipment&hash=item5d47132045
> ) and the second thing is to make the serial port D9 header vertical.
> The other option is to use the 10 way header used on the N8VEM board.
> I didn't do this because the serial port doesn't need to talk to a
> terminal, as there is a keyboard and two display options. So the main
> use of the D9 serial socket is to program the propeller chip, and once
> that is done it may not be needed any more. Although it could be used
> if needed.
> I moved all the chips in from the edge so there is room to slide into
> a card slot.
> Thoughts would be most appreciated!
> --
> You received this message because you are subscribed to the Google
> Groups "N8VEM" group.
> To unsubscribe from this group and stop receiving emails from it, send
> an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> Visit this group at http://groups.google.com/group/n8vem.
> For more options, visit https://groups.google.com/groups/opt_out.
Wolfgang Kabatzke
2013-12-15 20:50:47 UTC
Permalink
Hello James and friends,
I aggree with John.


At first the idea of this board is great! But, for me is the way open.
Is this an SBC with ECB-Connetor or is this an CPU for ECB-systems? Why
this question? Mhm, the old discussion about standards etc. is not my
decision.
But I have an 3HE metal cage and I need an PCB which fits to this in 99%.
That means:

1. enaugh space to use my metal cage and the PCB-holders (4mm, minimum
3mm free space on each side)
2. Connectors only at the "front side"
3. no special PCB-connector for power, for this is the DIN 41612.
4. No additional mounting holes (so we can safe space)

These are my main pointes. Last month I tried to order the ECB-PropIO.
This PCB is not usable for me, because the elements , especiallly the
resistors, are to thight to the edges and I have no chance to plug this
PCB into my cage without any shortcuts. So I designed my own PCB with
only one Propeller and conform features.

Best regards

Wolfgang


Am 15.12.2013 16:05, schrieb John Coffman:
> James,
>
> I see you went to a vertical connector for the DB-9. :-)
>
> A couple of items, RE: Eurocard standards.
>
> The board dimensions, as you know, are 100mm x 160mm. In inches,
> this is 3.94" x 6.3". The center of the 96-pin DIN 41612 connector
> should be 50mm from the top of the board; i.e., centered exactly.
> Earlier N8VEM boards have it off-center, and use a board width of
> 3.95". Not a big deal, but 3.94" is closer to 100mm by a hair, and
> divides in half evenly to 1.97", which is a multiple of 10mils, a common
> grid size. Also, the centerline of the DIN mounting holes should be
> back from the board right edge by up to 0.109". To leave a little
> leeway for card fabrication variations, I suggest putting the centerline
> back from the edge at 0.105". This dimension is not too critical, so
> the older N8VEM placement back 0.100" is not bad either.
>
> Centering the DIN connector vertically is my main suggestion.
>
> --John
>
>
>
> On 12/15/2013 02:04 AM, James Moxham (Dr_Acula) wrote:
>> I've taken on board the great suggestions above. Attached is the
>> latest design. I've managed to get all the I/O sockets on the left
>> side of the board. To do this needed to do two changes. First, use a
>> micro SD board (eg
>> http://www.ebay.com.au/itm/Micro-SD-Storage-Board-Mciro-SD-TF-Card-Memory-Shield-Module-SPI-For-Arduino-/400624394309?pt=AU_B_I_Electrical_Test_Equipment&hash=item5d47132045
>> ) and the second thing is to make the serial port D9 header vertical.
>> The other option is to use the 10 way header used on the N8VEM board.
>> I didn't do this because the serial port doesn't need to talk to a
>> terminal, as there is a keyboard and two display options. So the main
>> use of the D9 serial socket is to program the propeller chip, and once
>> that is done it may not be needed any more. Although it could be used
>> if needed.
>> I moved all the chips in from the edge so there is room to slide into
>> a card slot.
>> Thoughts would be most appreciated!
>> --
>> You received this message because you are subscribed to the Google
>> Groups "N8VEM" group.
>> To unsubscribe from this group and stop receiving emails from it, send
>> an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
>> To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
>> Visit this group at http://groups.google.com/group/n8vem.
>> For more options, visit https://groups.google.com/groups/opt_out.


--
Dr.-Ing. Wolfgang Kabatzke
Hansastrasse 9

DE - 21 502 Geesthacht
Deutschland / Germany

Phone: +49 4152 93 18 130 NEW!!!
Joe Smith
2013-12-16 00:29:03 UTC
Permalink
On 12/15/2013 2:50 PM, Wolfgang Kabatzke wrote:
> Hello James and friends,
> I aggree with John.
>
>
> At first the idea of this board is great! But, for me is the way open.
> Is this an SBC with ECB-Connetor or is this an CPU for ECB-systems?
> Why this question? Mhm, the old discussion about standards etc. is not
> my decision.
> But I have an 3HE metal cage and I need an PCB which fits to this in 99%.
> That means:
>
> 1. enaugh space to use my metal cage and the PCB-holders (4mm, minimum
> 3mm free space on each side)
> 2. Connectors only at the "front side"
> 3. no special PCB-connector for power, for this is the DIN 41612.
> 4. No additional mounting holes (so we can safe space)
>
> These are my main pointes. Last month I tried to order the ECB-PropIO.
> This PCB is not usable for me, because the elements , especiallly the
> resistors, are to thight to the edges and I have no chance to plug
> this PCB into my cage without any shortcuts. So I designed my own PCB
> with only one Propeller and conform features.
>
> Best regards
>
> Wolfgang
>
>
> Am 15.12.2013 16:05, schrieb John Coffman:
>> James,
>>
>> I see you went to a vertical connector for the DB-9. :-)
>>
>> A couple of items, RE: Eurocard standards.
>>
>> The board dimensions, as you know, are 100mm x 160mm. In inches,
>> this is 3.94" x 6.3". The center of the 96-pin DIN 41612 connector
>> should be 50mm from the top of the board; i.e., centered exactly.
>> Earlier N8VEM boards have it off-center, and use a board width of
>> 3.95". Not a big deal, but 3.94" is closer to 100mm by a hair, and
>> divides in half evenly to 1.97", which is a multiple of 10mils, a common
>> grid size. Also, the centerline of the DIN mounting holes should be
>> back from the board right edge by up to 0.109". To leave a little
>> leeway for card fabrication variations, I suggest putting the centerline
>> back from the edge at 0.105". This dimension is not too critical, so
>> the older N8VEM placement back 0.100" is not bad either.
>>
>> Centering the DIN connector vertically is my main suggestion.
>>
>> --John
>>
>>
>>
>> On 12/15/2013 02:04 AM, James Moxham (Dr_Acula) wrote:
>>> I've taken on board the great suggestions above. Attached is the
>>> latest design. I've managed to get all the I/O sockets on the left
>>> side of the board. To do this needed to do two changes. First, use a
>>> micro SD board (eg
>>> http://www.ebay.com.au/itm/Micro-SD-Storage-Board-Mciro-SD-TF-Card-Memory-Shield-Module-SPI-For-Arduino-/400624394309?pt=AU_B_I_Electrical_Test_Equipment&hash=item5d47132045
>>>
>>> ) and the second thing is to make the serial port D9 header vertical.
>>> The other option is to use the 10 way header used on the N8VEM board.
>>> I didn't do this because the serial port doesn't need to talk to a
>>> terminal, as there is a keyboard and two display options. So the main
>>> use of the D9 serial socket is to program the propeller chip, and once
>>> that is done it may not be needed any more. Although it could be used
>>> if needed.
>>> I moved all the chips in from the edge so there is room to slide into
>>> a card slot.
>>> Thoughts would be most appreciated!
>>> --
>>> You received this message because you are subscribed to the Google
>>> Groups "N8VEM" group.
>>> To unsubscribe from this group and stop receiving emails from it, send
>>> an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
>>> To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
>>> Visit this group at http://groups.google.com/group/n8vem.
>>> For more options, visit https://groups.google.com/groups/opt_out.
>
>
Another suggestion, after going thru all of my boards to see what I have
and how many. put the name on the board somewhere. Some do, some don't.

---
This email is free from viruses and malware because avast! Antivirus protection is active.
http://www.avast.com
John Coffman
2013-12-16 15:04:18 UTC
Permalink
RE: name on board

Joe,

Amen.

Name of board, and REVISION number. One may need to relate a board to a
schematic somewhere down the road.

--John



On 12/15/2013 04:29 PM, Joe Smith wrote:
> On 12/15/2013 2:50 PM, Wolfgang Kabatzke wrote:
>> Hello James and friends,
>> I aggree with John.
>>
>>
>> At first the idea of this board is great! But, for me is the way
>> open. Is this an SBC with ECB-Connetor or is this an CPU for
>> ECB-systems? Why this question? Mhm, the old discussion about
>> standards etc. is not my decision.
>> But I have an 3HE metal cage and I need an PCB which fits to this in
>> 99%.
>> That means:
>>
>> 1. enaugh space to use my metal cage and the PCB-holders (4mm,
>> minimum 3mm free space on each side)
>> 2. Connectors only at the "front side"
>> 3. no special PCB-connector for power, for this is the DIN 41612.
>> 4. No additional mounting holes (so we can safe space)
>>
>> These are my main pointes. Last month I tried to order the
>> ECB-PropIO. This PCB is not usable for me, because the elements ,
>> especiallly the resistors, are to thight to the edges and I have no
>> chance to plug this PCB into my cage without any shortcuts. So I
>> designed my own PCB with only one Propeller and conform features.
>>
>> Best regards
>>
>> Wolfgang
>>
>>
>> Am 15.12.2013 16:05, schrieb John Coffman:
>>> James,
>>>
>>> I see you went to a vertical connector for the DB-9. :-)
>>>
>>> A couple of items, RE: Eurocard standards.
>>>
>>> The board dimensions, as you know, are 100mm x 160mm. In inches,
>>> this is 3.94" x 6.3". The center of the 96-pin DIN 41612 connector
>>> should be 50mm from the top of the board; i.e., centered exactly.
>>> Earlier N8VEM boards have it off-center, and use a board width of
>>> 3.95". Not a big deal, but 3.94" is closer to 100mm by a hair, and
>>> divides in half evenly to 1.97", which is a multiple of 10mils, a
>>> common
>>> grid size. Also, the centerline of the DIN mounting holes should be
>>> back from the board right edge by up to 0.109". To leave a little
>>> leeway for card fabrication variations, I suggest putting the
>>> centerline
>>> back from the edge at 0.105". This dimension is not too critical, so
>>> the older N8VEM placement back 0.100" is not bad either.
>>>
>>> Centering the DIN connector vertically is my main suggestion.
>>>
>>> --John
>>>
>>>
>>>
>>> On 12/15/2013 02:04 AM, James Moxham (Dr_Acula) wrote:
>>>> I've taken on board the great suggestions above. Attached is the
>>>> latest design. I've managed to get all the I/O sockets on the left
>>>> side of the board. To do this needed to do two changes. First, use a
>>>> micro SD board (eg
>>>> http://www.ebay.com.au/itm/Micro-SD-Storage-Board-Mciro-SD-TF-Card-Memory-Shield-Module-SPI-For-Arduino-/400624394309?pt=AU_B_I_Electrical_Test_Equipment&hash=item5d47132045
>>>>
>>>> ) and the second thing is to make the serial port D9 header
>>>> vertical.
>>>> The other option is to use the 10 way header used on the N8VEM board.
>>>> I didn't do this because the serial port doesn't need to talk to a
>>>> terminal, as there is a keyboard and two display options. So the main
>>>> use of the D9 serial socket is to program the propeller chip, and once
>>>> that is done it may not be needed any more. Although it could be used
>>>> if needed.
>>>> I moved all the chips in from the edge so there is room to slide into
>>>> a card slot.
>>>> Thoughts would be most appreciated!
>>>> --
>>>> You received this message because you are subscribed to the Google
>>>> Groups "N8VEM" group.
>>>> To unsubscribe from this group and stop receiving emails from it, send
>>>> an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
>>>> To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
>>>> Visit this group at http://groups.google.com/group/n8vem.
>>>> For more options, visit https://groups.google.com/groups/opt_out.
>>
>>
> Another suggestion, after going thru all of my boards to see what I
> have and how many. put the name on the board somewhere. Some do, some
> don't.
>
> ---
> This email is free from viruses and malware because avast! Antivirus
> protection is active.
> http://www.avast.com
>
Wayne Warthen
2013-12-15 16:13:27 UTC
Permalink
Hi James,

Looks nice. Had a couple of thoughts:

1. If the primary use of the DB-9 is for programming the Propeller, you
could just switch to a PropPlug interface. I know that means builders
would need to buy a PropPlug, but they are cheap and eliminate any
potential for dongle wiring mishaps. The PropPlug interface also works
just fine for debug output.
2. I still think you have a minor issue on the I2C bus. The MCP23017SP
and the 24LC256 are wired to respond to address 0 on the bus (A0-A2 are
grounded on both chips). I think one of those chips needs to be assigned a
different address or they will both be trying to respond at the same time,
right?
3. The Z80 to Propeller interface will be subject to the same speed
constraint that exists in the current PropIO. Specifically, the Propeller
cannot assert /WAIT fast enough if the Z80 is running faster than about
6MHz. I was involved in some work with Andrew a couple months ago working
on an edge triggered latch circuit to solve this. Let me know if you want
that schematic.

--Wayne

On Sunday, December 15, 2013 2:04:11 AM UTC-8, James Moxham (Dr_Acula)
wrote:
>
> I've taken on board the great suggestions above. Attached is the latest
> design. I've managed to get all the I/O sockets on the left side of the
> board. To do this needed to do two changes. First, use a micro SD board (eg
> http://www.ebay.com.au/itm/Micro-SD-Storage-Board-Mciro-SD-TF-Card-Memory-Shield-Module-SPI-For-Arduino-/400624394309?pt=AU_B_I_Electrical_Test_Equipment&hash=item5d47132045 ) and the second thing is to make the serial port D9 header vertical.
> The other option is to use the 10 way header used on the N8VEM board. I
> didn't do this because the serial port doesn't need to talk to a terminal,
> as there is a keyboard and two display options. So the main use of the D9
> serial socket is to program the propeller chip, and once that is done it
> may not be needed any more. Although it could be used if needed.
> I moved all the chips in from the edge so there is room to slide into a
> card slot.
> Thoughts would be most appreciated!
>

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
Joachim Gaßler
2013-12-15 16:35:45 UTC
Permalink
Wayne,

Am 15.12.2013 17:13, schrieb Wayne Warthen:
>
> I still think you have a minor issue on the I2C bus. The MCP23017SP
> and the 24LC256 are wired to respond to address 0 on the bus (A0-A2
> are grounded on both chips). I think one of those chips needs to be
> assigned a different address or they will both be trying to respond at
> the same time, right?

because the two I2C bus devices have different slave address models
(0100xxx for the MCP23017, 1010xxx for the 24LC256), leaving the address
bits grounded is okay as long as their slave addresses are unique on the
bus.

Joe

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
James Moxham
2013-12-15 22:50:07 UTC
Permalink
Thanks for all the great suggestions. To answer them all:
1) Thanks John for the precise board dimensions. I was wondering myself
why the ECB connector was off center and this explains why. I'll fix this.

2) Wolfgang said
[quote]
1. enaugh space to use my metal cage and the PCB-holders (4mm, minimum 3mm
free space on each side)
2. Connectors only at the "front side"
3. no special PCB-connector for power, for this is the DIN 41612.
4. No additional mounting holes (so we can safe space)[/quote]

i) I should be able to move the chips a bit more and see if I can get 4mm.
ii) Connnectors only at the front side. Almost possible!
iii) No special PCB for power. This is something I need to ask more
questions about. On the ECB bus is a 12V pin, and we could use this. But
many N8VEM boards don't seem to use this at all. Could one think about a
backplane board that has regulators on it?
iv) No special mounting holes. This is one point I might have to disagree
on (sorry!). The reason is - when I build single board computers, then end
up taking up space on my computer desk, so I have to expand my work area
onto my wife's desk. And her desk is wood, and if I put a board on her
desk and it scratches the desk I get into trouble. So I put mounting holes
on all my boards and put PCB mounting posts in and then nothing gets
scratched from the underside of the board. Hope this makes sense!



3) Joachim has answered the question about different devices on the I2C
bus - thanks!

4) Re Wayne
[quote]
If the primary use of the DB-9 is for programming the Propeller, you could
just switch to a PropPlug interface. I know that means builders would need
to buy a PropPlug, but they are cheap and eliminate any potential for
dongle wiring mishaps. The PropPlug interface also works just fine for
debug output.
I still think you have a minor issue on the I2C bus. The MCP23017SP and
the 24LC256 are wired to respond to address 0 on the bus (A0-A2 are
grounded on both chips). I think one of those chips needs to be assigned a
different address or they will both be trying to respond at the same time,
right?
The Z80 to Propeller interface will be subject to the same speed
constraint that exists in the current PropIO. Specifically, the Propeller
cannot assert /WAIT fast enough if the Z80 is running faster than about
6MHz. I was involved in some work with Andrew a couple months ago working
on an edge triggered latch circuit to solve this. Let me know if you want
that schematic.[/quote]

i) Re the D9, some of my boards have sockets for D9 and for the prop plug,
and then you can leave out the max3232 if you want. I took that out when I
ran out of space on the double sided PCB but now I will put it back in.
I's still like the RS232 connection though - someone might want this for
other purposes and it comes for free. Plus a USB to RS232 D9 dongle is
cheaper than a prop plug. But for flexibility it would be best to have
more options.
ii) I2C question answered above.
iii) Good point re the /WAIT. An edge triggered latch sounds a good answer
and yes, if you can post the schematic that would be great.

I need to think also about using a 10 way box header vs using a vertical
D9. The D9 is female and all the cheap cable+header surplus PC ones are
all male. If I used a female one I'd need to be 100% sure the pins were
all correct!

Cheers

James
Wolfgang Kabatzke
2013-12-15 23:04:36 UTC
Permalink
Am 15.12.2013 23:50, schrieb James Moxham:
> Thanks for all the great suggestions. To answer them all:
> 1) Thanks John for the precise board dimensions. I was wondering
> myself why the ECB connector was off center and this explains why.
> I'll fix this.
>
> 2) Wolfgang said
> [quote]
> 1. enaugh space to use my metal cage and the PCB-holders (4mm, minimum
> 3mm free space on each side)
> 2. Connectors only at the "front side"
> 3. no special PCB-connector for power, for this is the DIN 41612.
> 4. No additional mounting holes (so we can safe space)[/quote]
>
> i) I should be able to move the chips a bit more and see if I can get
> 4mm.
> ii) Connnectors only at the front side. Almost possible!
> iii) No special PCB for power. This is something I need to ask more
> questions about. On the ECB bus is a 12V pin, and we could use this.
> But many N8VEM boards don't seem to use this at all. Could one think
> about a backplane board that has regulators on it?
> iv) No special mounting holes. This is one point I might have to
> disagree on (sorry!). The reason is - when I build single board
> computers, then end up taking up space on my computer desk, so I have
> to expand my work area onto my wife's desk. And her desk is wood, and
> if I put a board on her desk and it scratches the desk I get into
> trouble. So I put mounting holes on all my boards and put PCB mounting
> posts in and then nothing gets scratched from the underside of the
> board. Hope this makes sense!
>
>
>
> 3) Joachim has answered the question about different devices on the
> I2C bus - thanks!
>
> 4) Re Wayne
> [quote]
> If the primary use of the DB-9 is for programming the Propeller, you
> could just switch to a PropPlug interface. I know that means builders
> would need to buy a PropPlug, but they are cheap and eliminate any
> potential for dongle wiring mishaps. The PropPlug interface also works
> just fine for debug output.
> I still think you have a minor issue on the I2C bus. The MCP23017SP
> and the 24LC256 are wired to respond to address 0 on the bus (A0-A2
> are grounded on both chips). I think one of those chips needs to be
> assigned a different address or they will both be trying to respond at
> the same time, right?
> The Z80 to Propeller interface will be subject to the same speed
> constraint that exists in the current PropIO. Specifically, the
> Propeller cannot assert /WAIT fast enough if the Z80 is running faster
> than about 6MHz. I was involved in some work with Andrew a couple
> months ago working on an edge triggered latch circuit to solve this.
> Let me know if you want that schematic.[/quote]
>
> i) Re the D9, some of my boards have sockets for D9 and for the prop
> plug, and then you can leave out the max3232 if you want. I took that
> out when I ran out of space on the double sided PCB but now I will put
> it back in. I's still like the RS232 connection though - someone might
> want this for other purposes and it comes for free. Plus a USB to
> RS232 D9 dongle is cheaper than a prop plug. But for flexibility it
> would be best to have more options.
> ii) I2C question answered above.
> iii) Good point re the /WAIT. An edge triggered latch sounds a good
> answer and yes, if you can post the schematic that would be great.
>
> I need to think also about using a 10 way box header vs using a
> vertical D9. The D9 is female and all the cheap cable+header surplus
> PC ones are all male. If I used a female one I'd need to be 100% sure
> the pins were all correct!
>
> Cheers
>
> James
>
Hi James,

I haev no interest in starting an discussion about standards and "norms"
again. For me is ECB a "law". Not an experiment. And the "Standard-ECB"
has pins for +12V, -12V and .5V. This depends on the history of ECB and
the beginning of the microcomputer "Aera".
I use consequent in my system only row a and row c. And please don愒
forget: ECB was founded with Z80 systems ....

It愀 only an idea for thinking about the next steps.

With too much mounting holes I have an problem. One idea are 2 SBC: one
as SBC with additional ECB-connector and one as "pure ECB-CPU" whitout
any "Schnick-Schnack" :-) . What愀 about this idea?

Best regards

Wolfgang


96 PIN DIN 41612/IEC 60603-2 MALE at the boards.


Row a & c (for 8 bit)

Pin Name Desciption
a1 +5V +5 volts dc
a2 D5 Data line bit 5
a3 D6 Data line bit 6
a4 D3 Data line bit 3
a5 D4 Data line bit 4
a6 A2 Address 2
a7 A4 Address 4
a8 A5 Address 5
a9 A6 Address 6
a10 WAIT/ CPU wait
a11 BUSRQ/ bus request
a12

a13 +12V
a14 -12V
a15 -5V
a16 2PHI 2x clock
a17

a18 A14 address 14
a19

a20 M1/ first cycle
a21

a22

a23

a24

a25

a26

a27 IORQ/ in/out request
a28 RFSH/ refresh cycle
a29 A13 address 13
a30 A9 address 9
a31 BUSAK/ bus acknowledge
a32 GND signal ground

Pin Name Desciption
c1 +5V +5 volts dc
c2 D0 Data line bit 0
c3 D7 Data line bit 7
c4 D2 Data line bit 2
c5 A0 Address 0
c6 A3 Address 3
c7 A1 Address 1
c8 A8 Address 8
c9 A7 Address 7
c10

c11 IEI interrupt enable in
c12

c13

c14 D1 Data line bit 1
c15

c16 IEO interrupt enable out
c17 A11 address 11
c18 A10 address 10
c19

c20 NMI/ not maskable interrupt
c21 INT/ normal interrupt
c22 WR/ write cycle
c23

c24 RD/ read cycle
c25 HALT/ cpu stopped
c26

c27 A12 address 12
c28 A15 address 15
c29 PHI clock
c30 MREQ/ memory request
c31 RESET/ cpu reset
c32 GND signal ground


Row b (additional for 16 bit)

Pin Name Desciption
b1 +5V +5 volts dc
b2 A20 address 20
b3 A21 address 21
b4 A22 address 22
b5 A23 address 23
b6 D8 data line bit 8
b7 D9 data line bit 9
b8 D10 data line bit 10
b9 D11 data line bit 11
b10 D12 data line bit 12
b11 D13 data line bit 13
b12 D14 data line bit 14
b13 D15 data line bit 15
b14 IRQ7 interrupt 7
b15 IRQ6 interrupt 6
b16 IRQ5 interrupt 5
b17 IRQ4 interrupt 4
b18 IRQ3 interrupt 3
b19 IRQ2 interrupt 2
b20 IRQ1 interrupt 1
b21 IRQ0 interrupt 0
b22 IOWR I/O write
b23 ?? ??
b24 IORC I/O read
b25 MRDC Mem read
b26 ?? ??
b27 MWRC Mem write
b28 DS0 Data Select 0
b29 DS1 Data Select 1
b30 OFF bus driver tristate
b31 LOCK bus driver tristate disabled
b32 GND signal ground



--
Dr.-Ing. Wolfgang Kabatzke
Hansastrasse 9

DE - 21 502 Geesthacht
Deutschland / Germany

Phone: +49 4152 93 18 130 NEW!!!

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
James Moxham
2013-12-16 00:50:14 UTC
Permalink
Hi Wolfgang,

I agree with you regarding the ECB bus. I copied the schematic from the v2
board but maybe we can make it even better?

So a question. I looked up the ECB bus specifications on wikipedia and
there are pins for 5V and 12V etc. But there does not seem to be a
definition about which way the current flows. Maybe you can answer this?

So - is the 'standard' that you supply power to the Z80 board and this
power then flows to the backplane and then to all the other boards? Or is
the 'standard' that each pin on the backplane should have the specified
voltages?

This affects me as a designer, because I see an ECB backplane with 5V and
12V on the pins, and I think "good, I don't need to add a 5V regulator
and I don't need pins for power". But then I look at the v2 SBC and I see
it has pins for power.

And also, maybe we should have the -12V and -5V too? If those voltages
were present, there would be more options for the RS232 interface, and
also some things like ADC and audio preamps might be easier. The reason I
mention this is that switching regulators can also be reconfigured to
produce negative voltages. I'm using switching regulators on my board
because they don't need heatsinks and if you look at the datasheet eg page
19 http://www.onsemi.com/pub_link/Collateral/LM2575-D.PDF I can use the
same switcher to produce -12V and -5V just with a different board layout.

Maybe I can fit this on my board - I am not sure yet. Maybe if I remove
the mounting holes that could free up space for -12V and -5V regulators?

But if I can, is this the correct way to use the ECB bus? ie - is it
correct to produce the voltages on the Z80 board and feed them into the
backplane?

Maybe this does not matter - for example, would you ever run an ECB system
without a Z80 board?

Your thoughts would be most appreciated.

James

>
> I haev no interest in starting an discussion about standards and "norms"
> again. For me is ECB a "law". Not an experiment. And the "Standard-ECB"
> has pins for +12V, -12V and .5V. This depends on the history of ECB and
> the beginning of the microcomputer "Aera".
> I use consequent in my system only row a and row c. And please don愒
> forget: ECB was founded with Z80 systems ....
>
> It愀 only an idea for thinking about the next steps.
>
> With too much mounting holes I have an problem. One idea are 2 SBC: one
> as SBC with additional ECB-connector and one as "pure ECB-CPU" whitout
> any "Schnick-Schnack" :-) . What愀 about this idea?
>
> Best regards
>
> Wolfgang
>
>
> 96 PIN DIN 41612/IEC 60603-2 MALE at the boards.
>
>
> Row a & c (for 8 bit)
>
> Pin Name Desciption
> a1 +5V +5 volts dc
> a2 D5 Data line bit 5
> a3 D6 Data line bit 6
> a4 D3 Data line bit 3
> a5 D4 Data line bit 4
> a6 A2 Address 2
> a7 A4 Address 4
> a8 A5 Address 5
> a9 A6 Address 6
> a10 WAIT/ CPU wait
> a11 BUSRQ/ bus request
> a12
>
> a13 +12V
> a14 -12V
> a15 -5V
> a16 2PHI 2x clock
> a17
>
> a18 A14 address 14
> a19
>
> a20 M1/ first cycle
> a21
>
> a22
>
> a23
>
> a24
>
> a25
>
> a26
>
> a27 IORQ/ in/out request
> a28 RFSH/ refresh cycle
> a29 A13 address 13
> a30 A9 address 9
> a31 BUSAK/ bus acknowledge
> a32 GND signal ground
>
> Pin Name Desciption
> c1 +5V +5 volts dc
> c2 D0 Data line bit 0
> c3 D7 Data line bit 7
> c4 D2 Data line bit 2
> c5 A0 Address 0
> c6 A3 Address 3
> c7 A1 Address 1
> c8 A8 Address 8
> c9 A7 Address 7
> c10
>
> c11 IEI interrupt enable in
> c12
>
> c13
>
> c14 D1 Data line bit 1
> c15
>
> c16 IEO interrupt enable out
> c17 A11 address 11
> c18 A10 address 10
> c19
>
> c20 NMI/ not maskable interrupt
> c21 INT/ normal interrupt
> c22 WR/ write cycle
> c23
>
> c24 RD/ read cycle
> c25 HALT/ cpu stopped
> c26
>
> c27 A12 address 12
> c28 A15 address 15
> c29 PHI clock
> c30 MREQ/ memory request
> c31 RESET/ cpu reset
> c32 GND signal ground
>
>
> Row b (additional for 16 bit)
>
> Pin Name Desciption
> b1 +5V +5 volts dc
> b2 A20 address 20
> b3 A21 address 21
> b4 A22 address 22
> b5 A23 address 23
> b6 D8 data line bit 8
> b7 D9 data line bit 9
> b8 D10 data line bit 10
> b9 D11 data line bit 11
> b10 D12 data line bit 12
> b11 D13 data line bit 13
> b12 D14 data line bit 14
> b13 D15 data line bit 15
> b14 IRQ7 interrupt 7
> b15 IRQ6 interrupt 6
> b16 IRQ5 interrupt 5
> b17 IRQ4 interrupt 4
> b18 IRQ3 interrupt 3
> b19 IRQ2 interrupt 2
> b20 IRQ1 interrupt 1
> b21 IRQ0 interrupt 0
> b22 IOWR I/O write
> b23 ?? ??
> b24 IORC I/O read
> b25 MRDC Mem read
> b26 ?? ??
> b27 MWRC Mem write
> b28 DS0 Data Select 0
> b29 DS1 Data Select 1
> b30 OFF bus driver tristate
> b31 LOCK bus driver tristate disabled
> b32 GND signal ground
>
>
>


--
Using Opera's revolutionary e-mail client: http://www.opera.com/mail/

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
John Coffman
2013-12-16 15:22:13 UTC
Permalink
James,

An early requirement, as conveyed to me from Andrew, was to power N8VEM
boards from a _single_ power supply. This means +5v _only_. The +12v,
-12v, +12/15v, -12/15v pins should be left open for safety on older
backplanes / card cages. The idea was to simplify the power supply
requirements, and this accounts for the use of MAX232 drivers for
RS-232, which generate their own +10/-10v signal voltages. With +12 and
-12, 1488/1489 receiver/drivers could have been used.

Check the bus definitions on the Wiki. I think the attached JPEG
summarizes the bus pins with currently defined usages. Each new board
has resulted in more pin usages: SBC-188 added such as DT/R, MF/PIC used
the existing reserved pins IR0..IR7 for vectored interrupts, Mini-68K
expanded addressing to 22bits, and reserved two more. There is now a
reservation of data lines for expansion to 16-bit data paths, and
DS0/DS1 for control.

One of the major revisions which is now echoing through all the older
boards is the revision of RESET (C31) from a CPU board output to
peripherals to a Reset connector input to the CPU board. RESOUT (C26),
not used on the SBCv1, is now the peripheral Reset line. This moves
N8VEM closer to the Kontron bus original standard. And it requires a 3
pin jumper on all new boards to switch C31 between the two usages.

For peripheral boards, Reset may be chosen by jumper between legacy C31
or Kontron C26.

For CPU boards, /reset is always put out on C26. C31 becomes jumper
selectable between legacy connected to C26 and the new usage to connect
it in parallel with the pushbutton reset switch.

--John




On 12/15/2013 04:50 PM, James Moxham wrote:
> Hi Wolfgang,
>
> I agree with you regarding the ECB bus. I copied the schematic from
> the v2 board but maybe we can make it even better?
>
> So a question. I looked up the ECB bus specifications on wikipedia and
> there are pins for 5V and 12V etc. But there does not seem to be a
> definition about which way the current flows. Maybe you can answer this?
>
> So - is the 'standard' that you supply power to the Z80 board and this
> power then flows to the backplane and then to all the other boards? Or
> is the 'standard' that each pin on the backplane should have the
> specified voltages?
>
> This affects me as a designer, because I see an ECB backplane with 5V
> and 12V on the pins, and I think "good, I don't need to add a 5V
> regulator and I don't need pins for power". But then I look at the v2
> SBC and I see it has pins for power.
>
> And also, maybe we should have the -12V and -5V too? If those voltages
> were present, there would be more options for the RS232 interface, and
> also some things like ADC and audio preamps might be easier. The
> reason I mention this is that switching regulators can also be
> reconfigured to produce negative voltages. I'm using switching
> regulators on my board because they don't need heatsinks and if you
> look at the datasheet eg page 19
> http://www.onsemi.com/pub_link/Collateral/LM2575-D.PDF I can use the
> same switcher to produce -12V and -5V just with a different board layout.
>
> Maybe I can fit this on my board - I am not sure yet. Maybe if I
> remove the mounting holes that could free up space for -12V and -5V
> regulators?
>
> But if I can, is this the correct way to use the ECB bus? ie - is it
> correct to produce the voltages on the Z80 board and feed them into
> the backplane?
>
> Maybe this does not matter - for example, would you ever run an ECB
> system without a Z80 board?
>
> Your thoughts would be most appreciated.
>
> James
>
>>
>> I haev no interest in starting an discussion about standards and "norms"
>> again. For me is ECB a "law". Not an experiment. And the "Standard-ECB"
>> has pins for +12V, -12V and .5V. This depends on the history of ECB and
>> the beginning of the microcomputer "Aera".
>> I use consequent in my system only row a and row c. And please don愒
>> forget: ECB was founded with Z80 systems ....
>>
>> It愀 only an idea for thinking about the next steps.
>>
>> With too much mounting holes I have an problem. One idea are 2 SBC: one
>> as SBC with additional ECB-connector and one as "pure ECB-CPU" whitout
>> any "Schnick-Schnack" :-) . What愀 about this idea?
>>
>> Best regards
>>
>> Wolfgang
>>
>>
>> 96 PIN DIN 41612/IEC 60603-2 MALE at the boards.
>>
>>
>> Row a & c (for 8 bit)
>>
>> Pin Name Desciption
>> a1 +5V +5 volts dc
>> a2 D5 Data line bit 5
>> a3 D6 Data line bit 6
>> a4 D3 Data line bit 3
>> a5 D4 Data line bit 4
>> a6 A2 Address 2
>> a7 A4 Address 4
>> a8 A5 Address 5
>> a9 A6 Address 6
>> a10 WAIT/ CPU wait
>> a11 BUSRQ/ bus request
>> a12
>>
>> a13 +12V
>> a14 -12V
>> a15 -5V
>> a16 2PHI 2x clock
>> a17
>>
>> a18 A14 address 14
>> a19
>>
>> a20 M1/ first cycle
>> a21
>>
>> a22
>>
>> a23
>>
>> a24
>>
>> a25
>>
>> a26
>>
>> a27 IORQ/ in/out request
>> a28 RFSH/ refresh cycle
>> a29 A13 address 13
>> a30 A9 address 9
>> a31 BUSAK/ bus acknowledge
>> a32 GND signal ground
>>
>> Pin Name Desciption
>> c1 +5V +5 volts dc
>> c2 D0 Data line bit 0
>> c3 D7 Data line bit 7
>> c4 D2 Data line bit 2
>> c5 A0 Address 0
>> c6 A3 Address 3
>> c7 A1 Address 1
>> c8 A8 Address 8
>> c9 A7 Address 7
>> c10
>>
>> c11 IEI interrupt enable in
>> c12
>>
>> c13
>>
>> c14 D1 Data line bit 1
>> c15
>>
>> c16 IEO interrupt enable out
>> c17 A11 address 11
>> c18 A10 address 10
>> c19
>>
>> c20 NMI/ not maskable interrupt
>> c21 INT/ normal interrupt
>> c22 WR/ write cycle
>> c23
>>
>> c24 RD/ read cycle
>> c25 HALT/ cpu stopped
>> c26
>>
>> c27 A12 address 12
>> c28 A15 address 15
>> c29 PHI clock
>> c30 MREQ/ memory request
>> c31 RESET/ cpu reset
>> c32 GND signal ground
>>
>>
>> Row b (additional for 16 bit)
>>
>> Pin Name Desciption
>> b1 +5V +5 volts dc
>> b2 A20 address 20
>> b3 A21 address 21
>> b4 A22 address 22
>> b5 A23 address 23
>> b6 D8 data line bit 8
>> b7 D9 data line bit 9
>> b8 D10 data line bit 10
>> b9 D11 data line bit 11
>> b10 D12 data line bit 12
>> b11 D13 data line bit 13
>> b12 D14 data line bit 14
>> b13 D15 data line bit 15
>> b14 IRQ7 interrupt 7
>> b15 IRQ6 interrupt 6
>> b16 IRQ5 interrupt 5
>> b17 IRQ4 interrupt 4
>> b18 IRQ3 interrupt 3
>> b19 IRQ2 interrupt 2
>> b20 IRQ1 interrupt 1
>> b21 IRQ0 interrupt 0
>> b22 IOWR I/O write
>> b23 ?? ??
>> b24 IORC I/O read
>> b25 MRDC Mem read
>> b26 ?? ??
>> b27 MWRC Mem write
>> b28 DS0 Data Select 0
>> b29 DS1 Data Select 1
>> b30 OFF bus driver tristate
>> b31 LOCK bus driver tristate disabled
>> b32 GND signal ground
>>
>>
>>
>
>

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
Wayne Warthen
2013-12-17 04:37:11 UTC
Permalink
Here is a PDF copy of the work-in-progress PropIO V3. You can just look at
the part that handles the /WAIT signal. I should mention this design is
unproven.

--Wayne

On Sunday, December 15, 2013 2:50:07 PM UTC-8, James Moxham (Dr_Acula)
wrote:
>
> iii) Good point re the /WAIT. An edge triggered latch sounds a good answer
>
> and yes, if you can post the schematic that would be great.
>

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
James Moxham
2013-12-17 05:26:36 UTC
Permalink
Thanks++ for this.

Should work fine. Only thing is for me, I don't think I have a spare
propeller pin to run the /CLR signal. I'll see if I can create one with a
combination of other pins - will think about this some more.

Cheers, and thanks again.

James


On Tue, 17 Dec 2013 15:07:11 +1030, Wayne Warthen <wwarthen-***@public.gmane.org>
wrote:

> Here is a PDF copy of the work-in-progress PropIO V3. You can just look
> at the part that handles the /WAIT signal. I should mention this design
> is >unproven.
>
> --Wayne
>
> On Sunday, December 15, 2013 2:50:07 PM UTC-8, James Moxham (Dr_Acula)
> wrote:
>> iii) Good point re the /WAIT. An edge triggered latch sounds a good
>> answer and yes, if you can post the schematic that would be great.
> --You received this message because you are subscribed to the Google
> Groups "N8VEM" group.
> To unsubscribe from this group and stop receiving emails from it, send
> an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> Visit this group at http://groups.google.com/group/n8vem.
> For more options, visit https://groups.google.com/groups/opt_out.



--
Using Opera's mail client: http://www.opera.com/mail/

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
John Coffman
2013-12-17 06:08:26 UTC
Permalink
Wayne,

There is an omission on this schematic regarding the chip select using
the LS682.

/M1 _must_ be a part of the /IORQ select logic, or the board may
inadvertently select on an Interrupt Acknowledge cycle.

LS682-pin17 should connect to /M1, and pin18 should connect to VCC. It
is only an I/O cycle if both /M1 is high, and /IORQ is low. A Z80
interrupt acknowledge cycle is /M1 low and /IORQ low.

--John




On 12/16/2013 08:37 PM, Wayne Warthen wrote:
> Here is a PDF copy of the work-in-progress PropIO V3. You can just
> look at the part that handles the /WAIT signal. I should mention this
> design is unproven.
>
> --Wayne
>
> On Sunday, December 15, 2013 2:50:07 PM UTC-8, James Moxham (Dr_Acula)
> wrote:
>
> iii) Good point re the /WAIT. An edge triggered latch sounds a
> good answer
> and yes, if you can post the schematic that would be great.
>
> --
> You received this message because you are subscribed to the Google
> Groups "N8VEM" group.
> To unsubscribe from this group and stop receiving emails from it, send
> an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> Visit this group at http://groups.google.com/group/n8vem.
> For more options, visit https://groups.google.com/groups/opt_out.
James Moxham (Dr_Acula)
2013-12-18 11:22:57 UTC
Permalink
New design taking on board all the great suggestions
1) Good idea re board revisions. This is the third schematic posted to the
group, so lets call this version 1.03
2) More space for card slots. Is this enough?
3) Added a second MCP23017. Once the ram is loaded with a small bootstrap
program, all the MCP23017 pins can go HiZ. No conflicts with pull ups or
pull downs.
4) Added a prop plug socket. Can leave out the D9, max3232 etc if have a
prop plug. (USB to serial dongles are as low as $2 on ebay though).
5) Can use LS or HC chips. I'm using the 74xx125 instead of the 74xx06 as
the 06 doesn't come in the HC range. I believe the 125 should be able to do
the same function, ie it has two output states, Low or HiZ.
6) The /WAIT state circuit. I gather this is needed for clocking greater
than 6Mhz. This can be done with a latch, but there were some unused gates,
so I thought I would build an old-school NAND latch. This bends a few
rules, as it enters a 'forbidden' condition with both inputs low, but
please bear with me! Ok, the Z80 is running along and it does an I/O
request to the port that selects the propeller. This is the same port
number as the UART on the standard N8VEM chip, and one of the things the
propeller can do is emulate a UART, so we can think of them as being the
same. So, /CS_PROPELLER goes low, which SETS the nand latch. /Q output goes
low. This then goes via a 74xx125 configured to be either HiZ or Low, and
feeds into the /B_WAIT line which tells the Z80 to /WAIT. The propeller can
then read the /RD line and the /A0 line and D0-D7 and determine if this is
a read or a write. It can then read the data lines or put data on those
lines and take as long as required. Once the propeller has finished, it
sets /WAIT_RESET low. Now this is the state that is 'forbidden' as nand
latches are not allowed to have both inputs low. There is a nifty applet
here
http://tams-www.informatik.uni-hamburg.de/applets/hades/webdemos/16-flipflops/10-srff/srff.html
- click on the inputs to change the states. The 'forbidden' state is both
outputs are high, and this is forbidden in the sense that Q and /Q are
always supposed to be different. However, we are not using Q at all. So
from out point of view, /Q then goes high, /B_WAIT goes to HiZ, and the Z80
restarts. The Z80 then finishes its /IORQ and /CS_PROPELLER goes high. At
around the same time, and it doesn't matter if the propeller is slightly
slower or faster than the Z80 here, the propeller sets /WAIT_RESET high as
well. The latch is now reset.
This is the theory! I hope it works :)

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
James Moxham (Dr_Acula)
2013-12-18 11:27:05 UTC
Permalink
Addit - playing around with the java applet more, there are some conditions
James Moxham (Dr_Acula)
2013-12-18 11:37:54 UTC
Permalink
Yes, it works if the propeller waits for the Z80. So the S input is the Z80
and the R input is the Propeller
Correct sequence is
S=H, R=H
S=L,R=H
S=L, R=L
S=H, R=L
S=H, R=H

The sequence that doesn't work is
S=H, R=H
S=L, R=H
S=L, R=L
S=L, R=H
S=H, R=H

as this leaves /Q low, which leaves the Z80 still in a wait state. This can
be fixed with a second pulse by the propeller on the R line, but it would
be better to add a delay. Maybe one could do both - have a delay in the
propeller code, and also two pulses. In any case, there are software
solutions that work.

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
Wayne Warthen
2013-12-18 12:55:30 UTC
Permalink
Thanks John. I will correct it, although not even sure a new version of PropIO will be produced.

Wayne
James Moxham (Dr_Acula)
2013-12-21 04:09:52 UTC
Permalink
Attached version 1.04 of the N8VEM/Propeller hybrid. Changes:

1) Added a Prop Plug
2) Made tracks thicker - 15mil rather than 10mil
3) Added pads for linear regulators, can install either switching or linear
regs. The pads are sort of on top of each other so only one option can be
installed.
4) Tidied up labels for part values so they are not on top of each other.

I'm thinking of getting this board made - are there any further suggestions
or changes?

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
Michael Petry
2013-12-21 15:21:15 UTC
Permalink
Looks great.

What were your thoughts on using +5 V supplied by the ECB bus? The N8VEM backplanes provide for a source of 5V.
Does it make sense to provide a jumper that selects the input source for IC 17 ?

After some thought, I'll answer my own question.

Actually, it's not needed. Your 3 pin regulator mod allows it. At board build time:
1) Don't populate IC18 (+5 regulator) and the external power connector.
(take 5V from the ECB bus)
2) Install an LM3940 (+5 to 3.3V regulator) in the IC17 three tab position
3) Add a jumper wire from one of the unused +5 pads (from item 1 above)
to supply the LM3940 with +5 input.

Thanks,
Mike

P.S. Where should I paypal to get a prototype :-)



On Dec 20, 2013, at 11:09 PM, James Moxham (Dr_Acula) <moxhamj-CkBdp7X+***@public.gmane.org> wrote:

> Attached version 1.04 of the N8VEM/Propeller hybrid. Changes:
>
> 1) Added a Prop Plug
> 2) Made tracks thicker - 15mil rather than 10mil
> 3) Added pads for linear regulators, can install either switching or linear regs. The pads are sort of on top of each other so only one option can be installed.
> 4) Tidied up labels for part values so they are not on top of each other.
>
> I'm thinking of getting this board made - are there any further suggestions or changes?
>
> --
> You received this message because you are subscribed to the Google Groups "N8VEM" group.
> To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> Visit this group at http://groups.google.com/group/n8vem.
> For more options, visit https://groups.google.com/groups/opt_out.
> <N8VEM Motherboard Nov 2013 v104.pdf><N8VEMPropSBCv104.jpg>

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
James Moxham (Dr_Acula)
2013-12-21 23:30:18 UTC
Permalink
>
> Hi Michael,
>

It may be even simpler to run it from the ECB bus.

The ECB bus has 5V on its pins, so leave out the 5V regulators. Install the
3V linear regulator. This has input from the 5V line which comes from the
ECB bus.

So it shouldn't need any links at all.

Only thing is the 3V regulator needs to be a 'low dropout' type. The LM3940
is low dropout. Though I just noticed it has a different pinout to the
LM1117. The LM3940 might be better as it has the same IN-GND-OUT pinout of
a 7805.

Re boards, once the design is done, I'll get some made. Seeed Studios do a
minimum of 5 so I'll be getting at least that many done.

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
James Moxham (Dr_Acula)
2013-12-26 11:49:01 UTC
Permalink
Attached is the latest board. I have removed the onboard regulators, as
the N8VEM standard is to supply regulated 5V to the board. Just one low
dropout reg for 5V to 3V3.
There was a suggestion earlier to put an 8255 on the board. This has proved
quite a challenge, but it just fits!
Also I changed the logic for the wait state for the propeller. I think this
can be done with one logic gate rather than a latch.
Thoughts would be most appreciated.

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
James Moxham (Dr_Acula)
2014-01-01 07:51:00 UTC
Permalink
Attached are version 8 of the board.
Since the Zeta is named after a Greek letter I thought I might name this
after a Greek letter as well. So this board is the Upsilon.

Changes - I like the idea of the ECB bus being the source of power, so the
power connector has been removed and this frees up some space to put the SD
card at the end of the board.
I changed the socket for the Prop programmer to a 10 pin header. I think
this is the same as the other N8VEM boards. Lots of cheap/free D9 male to
10 pin headers from old PCs. A USB to D9 programmer is only a few dollars.
I found a D9 Female to Female for a very good price on ebay. Or solder one
up - only needs 4 wires.
I added a jumper so it is possible to remove the clock module, and clock
the board slowly from the propeller chip. This might be useful for
debugging.

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
d***@public.gmane.org
2014-01-01 08:28:16 UTC
Permalink
James Moxham (Dr_Acula)
2014-01-01 11:53:20 UTC
Permalink
Frohes neues Jahr, Wolfgang.

Re "marked must be free areas", as we say in Australia, "no worries, mate".
I have added three "restrict" layers to Eagle - restrict top layer,
restrict bottom layer and restrict vias.

This does still allow tracks in the middle layers (4 layer board) and these
were there as the autorouter was working but in the end there were no
tracks on these layers either.

I think there has been discussion about this before? If so, maybe one
solution is to use 4 layer boards and allow tracks on the middle layers.
Then you could route just as many tracks and so there are no problems
adding your "keep out" area.

I agree you do not want any short circuits if you are using metal slots.

Thankyou for your very helpful suggestions. Are there any other problems
you can see?

Cheers, James Moxham

On Wednesday, 1 January 2014 18:58:16 UTC+10:30, Dr. Wolfgang Kabatzke
wrote:
>
> Hello James,
>
> GREAT! The ECB-Buy is in each form of ECB-designs the source of power.
>
> But I have an last request. I marked 2 areas which must be free from
> any elements and wires. ItŽs then "conform" to standard (:-) and finish an
> old discussion to prevent the system against shortcuts etc.
> Happy New Year
> Wolfgang
> Dr. Wolfgang Kabatzke
> Hansastrasse 9
>
> D - 21 502 Geesthacht
> Germany / Deutschland
>
>
>

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
Wolfgang Kabatzke
2014-01-01 20:12:28 UTC
Permalink
Happy New Year James,

Super and GREAT!

Yes, we in Germany say often "Was nicht passt, wird passend gemacht"
(English translation: "What does not fit fits after fitting" ;-) ). I惎
from the German sea side (Baltic Sea) and we have much more humor like
people from all over the world think ... and we fits not in Lederhosen.
:-D . Many foreign people think Germany is only beer and Lederhosen...
Not at all!

Best regards


Wolfgang

PS: My next project will be also a PCB with 4 layers. Great realization
of Your new PCB!


Ok, then we will see was 2014 has for us.Am 01.01.2014 12:53, schrieb
James Moxham (Dr_Acula):
> Frohes neues Jahr, Wolfgang.
>
> Re "marked must be free areas", as we say in Australia, "no worries,
> mate". I have added three "restrict" layers to Eagle - restrict top
> layer, restrict bottom layer and restrict vias.
>
> This does still allow tracks in the middle layers (4 layer board) and
> these were there as the autorouter was working but in the end there
> were no tracks on these layers either.
>
> I think there has been discussion about this before? If so, maybe one
> solution is to use 4 layer boards and allow tracks on the middle
> layers. Then you could route just as many tracks and so there are no
> problems adding your "keep out" area.
>
> I agree you do not want any short circuits if you are using metal slots.
>
> Thankyou for your very helpful suggestions. Are there any other
> problems you can see?
>
> Cheers, James Moxham
>
> On Wednesday, 1 January 2014 18:58:16 UTC+10:30, Dr. Wolfgang Kabatzke
> wrote:
>
> Hello James,
> GREAT! The ECB-Buy is in each form of ECB-designs the source of
> power.
> But I have an last request. I marked 2 areas which must be free
> from any elements and wires. It愀 then "conform" to standard (:-)
> and finish an old discussion to prevent the system against
> shortcuts etc.
> Happy New Year
> Wolfgang
> Dr. Wolfgang Kabatzke
> Hansastrasse 9
>
> D - 21 502 Geesthacht
> Germany / Deutschland
>
> --
> You received this message because you are subscribed to the Google
> Groups "N8VEM" group.
> To unsubscribe from this group and stop receiving emails from it, send
> an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> Visit this group at http://groups.google.com/group/n8vem.
> For more options, visit https://groups.google.com/groups/opt_out.


--
Dr.-Ing. Wolfgang Kabatzke
Hansastrasse 9

DE - 21 502 Geesthacht
Deutschland / Germany

Phone: +49 4152 93 18 130 NEW!!!

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
James Moxham
2014-01-02 02:16:17 UTC
Permalink
Hi Wolfgang,

Thanks for all your help. Can you see any more problems? If not, I will
get some of these boards made.

I am then going to start with a touchscreen board. CP/M in color.

I think all the Lederhosen wearing, beer drinking Germans have emigrated
to South Australia! http://www.schutzenfest.com.au/photo-gallery/

Cheers, James






On Thu, 02 Jan 2014 06:42:28 +1030, Wolfgang Kabatzke
<dr.wolfgangkabatzke-BGeptl67XyCzQB+***@public.gmane.org> wrote:

> Happy New Year James,
>
> Super and GREAT!
>
> Yes, we in Germany say often "Was nicht passt, wird passend gemacht"
> (English translation: "What does not fit fits after fitting" ;-) ). I>Žm
> from the German sea side (Baltic Sea) and we have much more humor like
> people from all over the world think ... and we fits not in >Lederhosen.
> :-D . Many foreign people think Germany is only beer and Lederhosen...
> Not at all!
>
> Best regards
>
>
> Wolfgang
>
> PS: My next project will be also a PCB with 4 layers. Great realization
> of Your new PCB!
>
>
> Ok, then we will see was 2014 has for us.Am 01.01.2014 12:53, schrieb
> James Moxham (Dr_Acula):
>> Frohes neues Jahr, Wolfgang.
>>
>> Re "marked must be free areas", as we say in Australia, "no worries,
>> mate". I have added three "restrict" layers to Eagle - >>restrict top
>> layer, restrict bottom layer and restrict vias.
>> This does still allow tracks in the middle layers (4 layer board) and
>> these were there as the autorouter was working but in >>the end there
>> were no tracks on these layers either.
>> I think there has been discussion about this before? If so, maybe one
>> solution is to use 4 layer boards and allow tracks >>on the middle
>> layers. Then you could route just as many tracks and so there are no
>> problems adding your "keep out" >>area.
>>
>> I agree you do not want any short circuits if you are using metal slots.
>>
>> Thankyou for your very helpful suggestions. Are there any other
>> problems you can see?
>>
>> Cheers, James Moxham
>>
>> On Wednesday, 1 January 2014 18:58:16 UTC+10:30, Dr. Wolfgang Kabatzke
>> wrote:
>>> Hello James,
>>>GREAT! The ECB-Buy is in each form of ECB-designs the source of power.
>>> But I have an last request. I marked 2 areas which must be free from
>>> any elements and wires. It>>>Žs then "conform" to standard (:-) and
>>> finish an old discussion to prevent the system against >>>shortcuts
>>> etc.
>>> Happy New Year
>>> Wolfgang
>>> Dr. Wolfgang Kabatzke
>>> Hansastrasse 9
>>>
>>> D - 21 502 Geesthacht
>>> Germany / Deutschland
>>>
>> --You received this message because you are subscribed to the Google
>> Groups "N8VEM" group.
>> To unsubscribe from this group and stop receiving emails from it, send
>> an email to n8vem>>+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
>> To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
>> Visit this group at http://groups.google.com/group/n8vem.
>> For more options, visit https://groups.google.com/groups/opt_out.
>
>
> --Dr.-Ing. Wolfgang Kabatzke
> Hansastrasse 9
>
> DE - 21 502 Geesthacht
> Deutschland / Germany
>
> Phone: +49 4152 93 18 130 NEW!!!

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
p***@public.gmane.org
2014-01-01 13:35:45 UTC
Permalink
James,

I don't see which nets connect the Prop with the data bus. Are they missing
or it's me which can't find them?

Thanks and regards,
picmaster

-------------------------------------

Чу ли, че СуперХостинг.БГ продължават празничната си промоция и през зимата?
Вземи СуперХостинг план със 75% отстъпка.
http://superhosting.bg/8years/?utm_source=Mail.BG&utm_medium=FooterLink&utm_content=FooterLink101&utm_campaign=Winter-2013

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
James Moxham
2014-01-02 01:13:46 UTC
Permalink
Yes, sorry, that is not clear.

It is the top left corner of the schematic. There are 8 2K7 resistors that
connect the propeller to the Z80 data bus. These resistors are
bi-directional voltage shifters from 3V3 to 5V. Their value has been the
result of long discussions on the propeller forum. There are some
overvoltage diodes on the propeller chip that can handle a few mA, and
these resistors are high enough in value to not affect these diodes.

Cheers, James


On Thu, 02 Jan 2014 00:05:45 +1030, <picmaster-***@public.gmane.org> wrote:

> James,
>
> I don't see which nets connect the Prop with the data bus. Are they
> missing
> or it's me which can't find them?
>
> Thanks and regards,
> picmaster
>
> -------------------------------------
>
Wayne Warthen
2013-12-17 04:26:43 UTC
Permalink
Thanks Joe. I completely missed that.

On Sunday, December 15, 2013 8:35:45 AM UTC-8, moustache66 wrote:
>
> Wayne,
>
> Am 15.12.2013 17:13, schrieb Wayne Warthen:
>
>
> I still think you have a minor issue on the I2C bus. The MCP23017SP and
> the 24LC256 are wired to respond to address 0 on the bus (A0-A2 are
> grounded on both chips). I think one of those chips needs to be assigned a
> different address or they will both be trying to respond at the same time,
> right?
>
>
> because the two I2C bus devices have different slave address models
> (0100xxx for the MCP23017, 1010xxx for the 24LC256), leaving the address
> bits grounded is okay as long as their slave addresses are unique on the
> bus.
>
> Joe
>
>

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
Michael Petry
2013-12-15 16:39:32 UTC
Permalink
Great design of booting the Z80 via the propeller.

Since you're including the ECB bus, it might be nice, as an option, to power the board via the ECB backplane. The schematic
seem to show the +12 only comes from the external power connector (no connection to ECB X2-A13). If using +5 and +12 ECB power,
the +5V LM2575T could/should be unpopulated.

I like the idea of the 10 pin header for the serial connection. it would take less board space, and as you mentioned, is only used by the propeller.
Using a PropPlug header (4-pins) for the programming could eliminate the RS232 drivers and caps. That might free up the extra space for
other chips.

On Dec 15, 2013, at 5:04 AM, James Moxham (Dr_Acula) <moxhamj-CkBdp7X+***@public.gmane.orgnet> wrote:

> I've taken on board the great suggestions above. Attached is the latest design. I've managed to get all the I/O sockets on the left side of the board. To do this needed to do two changes. First, use a micro SD board (eg http://www.ebay.com.au/itm/Micro-SD-Storage-Board-Mciro-SD-TF-Card-Memory-Shield-Module-SPI-For-Arduino-/400624394309?pt=AU_B_I_Electrical_Test_Equipment&hash=item5d47132045 ) and the second thing is to make the serial port D9 header vertical.
> The other option is to use the 10 way header used on the N8VEM board. I didn't do this because the serial port doesn't need to talk to a terminal, as there is a keyboard and two display options. So the main use of the D9 serial socket is to program the propeller chip, and once that is done it may not be needed any more. Although it could be used if needed.
> I moved all the chips in from the edge so there is room to slide into a card slot.
> Thoughts would be most appreciated!
>
> --
> You received this message because you are subscribed to the Google Groups "N8VEM" group.
> To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> Visit this group at http://groups.google.com/group/n8vem.
> For more options, visit https://groups.google.com/groups/opt_out.
> <N8VEM Motherboard Nov 2013.pdf><Z80PropHybridDec15.jpg>

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
Max Scane
2013-12-16 07:52:35 UTC
Permalink
Hi James,

I would think you need to have pull-down resistors on the address lines? Those lines are active-high so to access location 0 onwards would require the high order address bits at 0.

Regards,

Max

Sent from my iPad

> On 15 Dec 2013, at 9:04 pm, "James Moxham (Dr_Acula)" <***@internode.on.net> wrote:
>
> I've taken on board the great suggestions above. Attached is the latest design. I've managed to get all the I/O sockets on the left side of the board. To do this needed to do two changes. First, use a micro SD board (eg http://www.ebay.com.au/itm/Micro-SD-Storage-Board-Mciro-SD-TF-Card-Memory-Shield-Module-SPI-For-Arduino-/400624394309?pt=AU_B_I_Electrical_Test_Equipment&hash=item5d47132045 ) and the second thing is to make the serial port D9 header vertical.
> The other option is to use the 10 way header used on the N8VEM board. I didn't do this because the serial port doesn't need to talk to a terminal, as there is a keyboard and two display options. So the main use of the D9 serial socket is to program the propeller chip, and once that is done it may not be needed any more. Although it could be used if needed.
> I moved all the chips in from the edge so there is room to slide into a card slot.
> Thoughts would be most appreciated!
> --
> You received this message because you are subscribed to the Google Groups "N8VEM" group.
> To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> Visit this group at http://groups.google.com/group/n8vem.
> For more options, visit https://groups.google.com/groups/opt_out.
> <N8VEM Motherboard Nov 2013.pdf>
> <Z80PropHybridDec15.jpg>

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
James Moxham (Dr_Acula)
2013-12-16 08:34:55 UTC
Permalink
D'oh!
Fixing it now. Thanks++

On Monday, 16 December 2013 18:22:35 UTC+10:30, Max Scane wrote:

> Hi James,
>
> I would think you need to have pull-down resistors on the address lines?
> Those lines are active-high so to access location 0 onwards would require
> the high order address bits at 0.
>
> Regards,
>
> Max
>
> Sent from my iPad
>
>

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/groups/opt_out.
John Coffman
2013-12-16 15:35:43 UTC
Permalink
Max,

N8VEM needs to be able to use LS-TTL logic. Pull-downs are not an
option with LS-TTL because you must be able to sink 0.4 ma for each gate
on the bus. That means a relatively low value resistor to pull down the
voltage.

Rather, let the unused pins float. Then you know they are at logic 1,
and build boards accordingly.

SBC v1, v2 -- drives 16 address lines
SBC-188 -- 20 lines
mini-M68K -- 22 lines + 2 dummy = 24 lines driven
SBC Mark IV -- 24 lines

4MEM -- uses 20 address lines
(future) 16-bit memory -- 24 address lines / 16 data lines

--John




On 12/15/2013 11:52 PM, Max Scane wrote:
> Hi James,
>
> I would think you need to have pull-down resistors on the address
> lines? Those lines are active-high so to access location 0 onwards
> would require the high order address bits at 0.
>
> Regards,
>
> Max
>
> Sent from my iPad
>
> On 15 Dec 2013, at 9:04 pm, "James Moxham (Dr_Acula)"
> <moxhamj-CkBdp7X+***@public.gmane.org <mailto:moxhamj-CkBdp7X+***@public.gmane.org>> wrote:
>
>> I've taken on board the great suggestions above. Attached is the
>> latest design. I've managed to get all the I/O sockets on the left
>> side of the board. To do this needed to do two changes. First, use a
>> micro SD board (eg
>> http://www.ebay.com.au/itm/Micro-SD-Storage-Board-Mciro-SD-TF-Card-Memory-Shield-Module-SPI-For-Arduino-/400624394309?pt=AU_B_I_Electrical_Test_Equipment&hash=item5d47132045
>> <http://www.ebay.com.au/itm/Micro-SD-Storage-Board-Mciro-SD-TF-Card-Memory-Shield-Module-SPI-For-Arduino-/400624394309?pt=AU_B_I_Electrical_Test_Equipment&hash=item5d47132045>
>> ) and the second thing is to make the serial port D9 header vertical.
>> The other option is to use the 10 way header used on the N8VEM board.
>> I didn't do this because the serial port doesn't need to talk to a
>> terminal, as there is a keyboard and two display options. So the main
>> use of the D9 serial socket is to program the propeller chip, and
>> once that is done it may not be needed any more. Although it could be
>> used if needed.
>> I moved all the chips in from the edge so there is room to slide into
>> a card slot.
>> Thoughts would be most appreciated!
>> --
>> You received this message because you are subscribed to the Google
>> Groups "N8VEM" group.
>> To unsubscribe from this group and stop receiving emails from it,
>> send an email to n8vem+unsubscribe-/***@public.gmane.org
>> <mailto:n8vem+unsubscribe-/***@public.gmane.org>.
>> To post to this group, send email to n8vem-/***@public.gmane.org
>> <mailto:n8vem-/***@public.gmane.org>.
>> Visit this group at http://groups.google.com/group/n8vem.
>> For more options, visit https://groups.google.com/groups/opt_out.
>> <N8VEM Motherboard Nov 2013.pdf>
>> <Z80PropHybridDec15.jpg>
> --
> You received this message because you are subscribed to the Google
> Groups "N8VEM" group.
> To unsubscribe from this group and stop receiving emails from it, send
> an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
> Visit this group at http://groups.google.com/group/n8vem.
> For more options, visit https://groups.google.com/groups/opt_out.
Loading...