Discussion:
[N8VEM: 18652] Re: Vintage + modern = fun
c***@public.gmane.org
2014-09-09 20:27:45 UTC
Permalink
Hi Everyone!
I am at the point now in my Z80 Multicomp Microcomputer that I wish to implement an MMU into the mix so I can run CP/M 2.2, CP/M 3 & MP/M all from the same SD card! I'm having trouble finding the email with the MMU VHDL as an attachment or maybe it was a link. Would someone please send the MMU.zip file out again or the link to it? I would appreciate it! Thanks a bunch! Also concise directions for setting up multiple systems, BIOS, XIOX, partitions, etc. would be most welcome. :D I really what to take this thing to the next level.

For the 6809 Fans,
I want to put in a 4K and an 8K MMU so I can start playing with memory paging schemes. I'm aiming at running OS-9, Flex9 and ultimately NitrOS-9 v3.3.0 on my 6809 Multicomp Microcomputer! If I have left out a request for any other parts of code I may need, then by all means send it to me please. I would appreciate it very much. Take care my friends.

Kip Koon
computerdoc-***@public.gmane.org
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham
2014-09-09 23:46:41 UTC
Permalink
Hi Kip,

Sounds like you are racing ahead!

The MMU and MP/M has been me and Max exchanging mostly private emails. I
wrote the MMU and he wrote the MP/M code. It isn't finished yet though -
he is working on handling interrupts from multiple sources and I'm deep
inside the theory of Moore State Machines in vhdl
http://www.altera.com/support/examples/vhdl/vhd-state-machine.html

I'll post the 4K MMU code when I get home. Max has written a full page
step-by-step instruction on getting multiple operating systems working via
one SD card but he sent me that as a private email so I should defer to
him regarding posting the code (or sending it directly to you).

We are thinking about a new board - more RS232 ports, maybe dual SD cards,
all the connectors along one side so it fits better in a box.

Cheers, James Moxham
Post by c***@public.gmane.org
Hi Everyone!
I am at the point now in my Z80 Multicomp Microcomputer that I wish to
implement an MMU into the mix so I can run CP/M 2.2, CP/M 3 & MP/M all
from the same SD card! I'm having trouble finding the email with the
MMU VHDL as an attachment or maybe it was a link. Would someone please
send the MMU.zip file out again or the link to it? I would appreciate
it! Thanks a bunch! Also concise directions for setting up multiple
systems, BIOS, XIOX, partitions, etc. would be most welcome. :D I
really what to take this thing to the next level.
For the 6809 Fans,
I want to put in a 4K and an 8K MMU so I can start playing with memory
paging schemes. I'm aiming at running OS-9, Flex9 and ultimately
NitrOS-9 v3.3.0 on my 6809 Multicomp Microcomputer! If I have left out
a request for any other parts of code I may need, then by all means send
it to me please. I would appreciate it very much. Take care my friends.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
Kip Koon
2014-09-10 02:30:50 UTC
Permalink
Hi James!
I updated my Wiki page on cocopedia.com to include my success with my
Multicomp Z80 CP/M 2.2 Microcomputer.
I have gone on and bought another FGPA Mini-Development PCB and compiled a
Multicomp 6800 version using the Altair680 rom monitor with the serial I/O
port address modified for the Multicomp Serial 1 port. I'm going to make me
an Altair680 Multicomp yet!
I also have compiled 6809 Grant Cubix Multicomp, 6809 Extended Basic
Multicomp and am beginning to add the external ram to the 6809 Multicomp as
well. :)
I just might end up with a whole family of Multicomp Microcomputers. :D
Did you know that OS-9 ran on the SWTPC 6800 Microcomputer at one point! I
just recently found this interesting tidbit of information out several days
ago.
I have the Z80 in a runnable state and I'm laying the ground work for the
beginnings of my 6809 and 6800 Multicomp Microcomputers and I'm having loads
of fun doing things I only dreamed of back in the day! Take care my friend.

Kip Koon
computerdoc-***@public.gmane.org
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/

-----Original Message-----
From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of
James Moxham
Sent: Tuesday, September 09, 2014 7:47 PM
To: n8vem-/***@public.gmane.org
Subject: Re: [N8VEM: 18653] Re: Vintage + modern = fun

Hi Kip,

Sounds like you are racing ahead!

The MMU and MP/M has been me and Max exchanging mostly private emails. I
wrote the MMU and he wrote the MP/M code. It isn't finished yet though -
he is working on handling interrupts from multiple sources and I'm deep
inside the theory of Moore State Machines in vhdl
http://www.altera.com/support/examples/vhdl/vhd-state-machine.html

I'll post the 4K MMU code when I get home. Max has written a full page
step-by-step instruction on getting multiple operating systems working via
one SD card but he sent me that as a private email so I should defer to
him regarding posting the code (or sending it directly to you).

We are thinking about a new board - more RS232 ports, maybe dual SD cards,
all the connectors along one side so it fits better in a box.

Cheers, James Moxham
Post by c***@public.gmane.org
Hi Everyone!
I am at the point now in my Z80 Multicomp Microcomputer that I wish to
implement an MMU into the mix so I can run CP/M 2.2, CP/M 3 & MP/M all
from the same SD card! I'm having trouble finding the email with the
MMU VHDL as an attachment or maybe it was a link. Would someone please
send the MMU.zip file out again or the link to it? I would appreciate
it! Thanks a bunch! Also concise directions for setting up multiple
systems, BIOS, XIOX, partitions, etc. would be most welcome. :D I
really what to take this thing to the next level.
For the 6809 Fans,
I want to put in a 4K and an 8K MMU so I can start playing with memory
paging schemes. I'm aiming at running OS-9, Flex9 and ultimately
NitrOS-9 v3.3.0 on my 6809 Multicomp Microcomputer! If I have left out
a request for any other parts of code I may need, then by all means send
it to me please. I would appreciate it very much. Take care my friends.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
--
You received this message because you are subscribed to the Google Groups
"N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2014-09-10 02:30:50 UTC
Permalink
Hi James and Max!
I am very pleased to hear that development is pressing for a MultiOS Z80
Multicomp! I delighted to hear about getting the MMU files as well.
I'm trying to figure out how to get the CPM3ON2 files I found running on my
Z80 Multicomp. Not much documentation came with it though.
I'm especially glad to hear about the new PCB design! Keep up the most
excellent work you and Max have been doing! I just might learn Z80 code
yet! As you know up to now I've been exclusively a Motorola Man! Anyhow,
take care my friends!

Kip Koon
computerdoc-***@public.gmane.org
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/


-----Original Message-----
From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of
James Moxham
Sent: Tuesday, September 09, 2014 7:47 PM
To: n8vem-/***@public.gmane.org
Subject: Re: [N8VEM: 18653] Re: Vintage + modern = fun

Hi Kip,

Sounds like you are racing ahead!

The MMU and MP/M has been me and Max exchanging mostly private emails. I
wrote the MMU and he wrote the MP/M code. It isn't finished yet though - he
is working on handling interrupts from multiple sources and I'm deep inside
the theory of Moore State Machines in vhdl
http://www.altera.com/support/examples/vhdl/vhd-state-machine.html

I'll post the 4K MMU code when I get home. Max has written a full page
step-by-step instruction on getting multiple operating systems working via
one SD card but he sent me that as a private email so I should defer to him
regarding posting the code (or sending it directly to you).

We are thinking about a new board - more RS232 ports, maybe dual SD cards,
all the connectors along one side so it fits better in a box.

Cheers, James Moxham
Post by c***@public.gmane.org
Hi Everyone!
I am at the point now in my Z80 Multicomp Microcomputer that I wish to
implement an MMU into the mix so I can run CP/M 2.2, CP/M 3 & MP/M all
from the same SD card! I'm having trouble finding the email with the
MMU VHDL as an attachment or maybe it was a link. Would someone
please send the MMU.zip file out again or the link to it? I would
appreciate it! Thanks a bunch! Also concise directions for setting
up multiple systems, BIOS, XIOX, partitions, etc. would be most
welcome. :D I really what to take this thing to the next level.
For the 6809 Fans,
I want to put in a 4K and an 8K MMU so I can start playing with memory
paging schemes. I'm aiming at running OS-9, Flex9 and ultimately
NitrOS-9 v3.3.0 on my 6809 Multicomp Microcomputer! If I have left
out a request for any other parts of code I may need, then by all
means send it to me please. I would appreciate it very much. Take care
my friends.
Post by c***@public.gmane.org
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
--
You received this message because you are subscribed to the Google Groups
"N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham
2014-09-10 00:45:36 UTC
Permalink
Hi Kip,

I put the files in the N8VEM repository. Have a read through each folder -
there is a readme.txt in there and the vhdl source code for the MMU.

http://n8vem-sbc.pbworks.com/w/page/4200908/FrontPage , right side of the
page, scroll down to "fpga emulation"

Cheers, James
Kip Koon
2014-09-10 21:48:19 UTC
Permalink
Hi James!
I found the BDS-C compiler and downloaded the files to my CP/M system then I
started trying to figure out how to extract the compiler. Well, I found the
New Library Uncruncher program NULU11.COM and have successfully extracted
the BDS-C compiler. After a lot of extraction and uncrunching of files, I
finally have gotten the BDS-C compiler operational on my Multicomp Z80 CP/M
2.2 Microcomputer!
Through a bit of experimenting I figured out how to compile RM.C that came
with the compiler so I ran RCONFIG.COM to configure the RED editor. After
configuration of RED, I tried compiling the RED editor but the compiler
couldn't find the file C.CCC even though C.CCC is listed in the directory so
I was wondering how to edit the CRED.SUB file so C.CCC is found by the
compiler. I would prefer to use a full screen editor, but unless I get RED
working, I may have to learn how to use ED.COM. :(
Is this the correct line of reasoning or is there another issue I need to
take care of I may not know about? I was hoping to get a full screen editor
running so I could start viewing and editing C source code files instead of
using TYPE filename.ext and using ctrl-s real fast to stop and restart the
listing as it zooms by the screen on this fast 25 MHZ CP/M Microcomputer to
see the files! I bet the pioneers of CP/M would have loved to have had a 25
MHZ Z80 based Altair or IMSAI microcomputer setup!
I was in a Smashburger restaurant near the VA in Columbia, SC yesterday and
a young man who works there was fascinated by my Multicomp Z80 CP/M 2.2
Microcomputer that I was using my laptop to communicate with. So I started
explaining to him the size of the original Altair and IMSAI microcomputers
and what their capabilities were when they were first announced for sale.
Then I mentioned I what I could do with my Multicomp Z80 CP/M 2.2
Microcomputer that fits in the palm of my hand with 16 8MB virtual drives on
it that I built in just a few days and he was absolutely fascinated by it
all! I really enjoyed showing and explaining everything to him! I've got
another appointment at the VA tomorrow so I plan on dropping by afterwards
and showing him the C compiler in operation! He'll love that! :) I love
this stuff! He was asking about games so I might download some games and
show them to him as well. Text only games! I wonder what he'll think of
that? It's good times here. :D
If there are any people who have not built their Multicomp Interface PCB yet
and bought the FPGA Mini-Development board with the Cyclone II 144-pin chip
and put it all together, I highly recommend the experience! It's a BLAST
and a bunch of FUN Too! Take care my friends!

Kip Koon
computerdoc-***@public.gmane.org
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/

-----Original Message-----
From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of
James Moxham
Sent: Tuesday, September 09, 2014 8:46 PM
To: n8vem-/***@public.gmane.org
Subject: Re: [N8VEM: 18655] Re: Vintage + modern = fun

Hi Kip,

I put the files in the N8VEM repository. Have a read through each folder -
there is a readme.txt in there and the vhdl source code for the MMU.

http://n8vem-sbc.pbworks.com/w/page/4200908/FrontPage , right side of the
page, scroll down to "fpga emulation"

Cheers, James

--
You received this message because you are subscribed to the Google Groups
"N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2014-09-10 22:44:37 UTC
Permalink
Hi James!
I tried your Grant Searle FPGA Retro Computer IDE you created. I love it!
I do have a couple of small questions about it though. I'm having some
trouble using your FPGA IDE - to get it to function correctly. It won't let
me paste anything into the terminal or download windows and it keeps locking
up when I try to exit the program. When I restart the program, it can't or
won't open the com port so I have to restart my laptop.
Also it does not remember my com port setting. I have to re-enter my com
port number every time I run the program. I'm running a 4 port USB hub and
my com port number is COM10 when I use my serial -> USB cable with it. If I
plug up my Serial -> USB cable from my Multicomp straight into my laptop
which requires me to unplug my mouse, the com port number is COM8 not COM3.
Any ideas as to how to make it store the last used com port setting and
directory location?
I also downloaded and installed the z88dk program you spoke about. The test
performed correctly as shown in the documentation. How do I connect it to
the Grant Searle FPGA Retro Computer IDE or configure the IDE to see z88dk?
Have I missed something somewhere?
What about connecting assemblers and compilers for other microprocessors to
the IDE? I for one would like an excellent 6809 software setup and since
Grant Searle has the 6800 functional now, how about an excellent 6800
assembler and compiler setup as well. Also the 6502 users out here in
Multicomp land would probably like an excellent 6502 assembler and compiler
setup as well. Sorry to ask a lot of you. I know it has probably taken a
long time to develop this IDE as far as you have and I salute you for
putting all that effort into this IDE!
Otherwise, I would like to congratulate you on a great idea for a
Development System for the Multicomp. Thanks a bunch for an excellent
effort on a wonderful program! I look forward to any ideas for updates you
may have in store for this IDE. By the way, how about a function or window
to format an SD Card and install the boot files for CP/M 2.2? The Download
window of course uses the download command and I could not paste the
formatter HEX code directly into the terminal nor the download windows to
format my new 128MB SD Card I'm trying out.
Also could it automatically close the com port on exit? I think that might
be why it's locking up. I have to use the Task Manager to close the IDE
every time this happens. Thoughts, poseurs (sp?), issues, ideas? What do
you think? Take care my friend!

Kip Koon
computerdoc-***@public.gmane.org
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/


-----Original Message-----
From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of
James Moxham
Sent: Tuesday, September 09, 2014 8:46 PM
To: n8vem-/***@public.gmane.org
Subject: Re: [N8VEM: 18655] Re: Vintage + modern = fun

Hi Kip,

I put the files in the N8VEM repository. Have a read through each folder -
there is a readme.txt in there and the vhdl source code for the MMU.

http://n8vem-sbc.pbworks.com/w/page/4200908/FrontPage , right side of the
page, scroll down to "fpga emulation"

Cheers, James

--
You received this message because you are subscribed to the Google Groups
"N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham
2014-09-11 00:51:54 UTC
Permalink
Hi Kip,

Ok, I'll do all those things :)

New version in the N8VEM file repository in the folder fpga emulation/fpga
ide

1) The paste (^V) only works for C. It won't work in the terminal or
download screen.

2) But... if you want to transfer files, go to the transfer tab, click
"add files", then click download and it will send that file (or group of
files). The downside of doing it this way is that there is no ^V paste.
The upside is you can send a whole directory of files just by highlighting
them all, then go make a coffee.

3) I fixed the com port saving the last setting. I've been meaning to do
this for some time - thanks for getting me to fix this - now it is easier
for me too. Ok, when you first run the program, it looks for file
Grant_Searle_Settings.ini in the C:\N8VEM directory (it will assume that
directory exists). If it does not exist, it will create that file and then
save the com port as COM1 and the baud as 115200. It will then probably
give an error message saying that com port does not exist unless you
happen to be using COM1. Then go to Settings/Comport and change to your
com port. It will save on exit. Try restarting and it should all work
automatically from that point - it opens the com port when the program
starts, and closes it when it ends.

4) Z88dk needs to be in a folder in the C:\N8VEM directory, eg
C:\N8VEM\Z88DK Try File/New then compile with Z88dk and it will run a
batch file. The vb.net code is
Sub CompleAndDownloadZ88DK()
Dim Location As New Process
Call SaveBDSC()
FileOpen(1, "C:\N8VEM\z88dk\examples\temp\myfile.bat",
OpenMode.Output)

and so you can browse to that directory and check it created that batch
file, and see if it will run manually. If you have any problems with that
batch file, please post the batch file text.

5) There are quite a few steps to formatting a CP/M disk. So I took your
advice and now it is a one touch process. Tools/Format CPM. The default
file path for the files is Myfilepath = "c:\altera\grant
searle\cpm\hexfiles\" and if the hex files are there it will prompt with
the filename each time and so you just click "open" The instructions to
format a CP/M disk have been copied from Grant's website. They take quite
a while to do manually - much faster to let the computer do the following:

SendString(" ") ' send a space to talk via serial port
System.Windows.Forms.Application.DoEvents()
Sleep(1000)
OpenHexFile("FORM128.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
SendString("G5000" + vbCr) ' send a command to run form128
OpenHexFile("CPM22.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
OpenHexFile("CBIOS128.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
OpenHexFile("PUTSYS.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
SendString("G5000" + vbCr) ' send a command to run putsys
SendString("XY") ' boot to CPM to show it works
Sleep(1000)
MsgBox("Press the reset button on the board")
SendString(" ") ' send a space
OpenHexFile("DOWNLOAD2.HEX") ' read into richtextbox1 -
relocated version of download
SendString(RichTextBox1.Text) ' send out the hex file
SendString("GFFE8" + vbCr) 'this will relocate RAM 4100-->0100
and restart CP/M)
SendString("SAVE 2 DOWNLOAD.COM" + vbCr) ' save download.com

6) We could probably automate the MP/M installation process too, once Max
has squished all the bugs.

7) Other assemblers and compilers? Why not. I've added one for Assembly -
click New, then compile it and it will print A. This uses L80.COM and
M80.COM and a little batch file written in CP/M
M80 =$1 /Z/C/L/M
L80 $1,$1/N/E
$1
The template can be copied for other languages. Generally it is a tab
screen, a text box, New Open Save and Compile buttons. The compile button
just runs a batch file in CPM eg TypeLine("SUPERSUB ZASM " +
FilenameNoExtension + vbCr)

So if you want to add your favourite compiler, get it working on the board
first, then we can add it to the IDE.



Great to see someone else using this - it will keep me motivated to keep
adding things.

Let me know if you find any bugs.

Cheers, James
Post by Kip Koon
Hi James!
I tried your Grant Searle FPGA Retro Computer IDE you created. I love it!
I do have a couple of small questions about it though. I'm having some
trouble using your FPGA IDE - to get it to function correctly. It won't let
me paste anything into the terminal or download windows and it keeps locking
up when I try to exit the program. When I restart the program, it can't or
won't open the com port so I have to restart my laptop.
Also it does not remember my com port setting. I have to re-enter my com
port number every time I run the program. I'm running a 4 port USB hub and
my com port number is COM10 when I use my serial -> USB cable with it.
If I
plug up my Serial -> USB cable from my Multicomp straight into my laptop
which requires me to unplug my mouse, the com port number is COM8 not COM3.
Any ideas as to how to make it store the last used com port setting and
directory location?
I also downloaded and installed the z88dk program you spoke about. The test
performed correctly as shown in the documentation. How do I connect it to
the Grant Searle FPGA Retro Computer IDE or configure the IDE to see z88dk?
Have I missed something somewhere?
What about connecting assemblers and compilers for other microprocessors to
the IDE? I for one would like an excellent 6809 software setup and since
Grant Searle has the 6800 functional now, how about an excellent 6800
assembler and compiler setup as well. Also the 6502 users out here in
Multicomp land would probably like an excellent 6502 assembler and compiler
setup as well. Sorry to ask a lot of you. I know it has probably taken a
long time to develop this IDE as far as you have and I salute you for
putting all that effort into this IDE!
Otherwise, I would like to congratulate you on a great idea for a
Development System for the Multicomp. Thanks a bunch for an excellent
effort on a wonderful program! I look forward to any ideas for updates you
may have in store for this IDE. By the way, how about a function or window
to format an SD Card and install the boot files for CP/M 2.2? The Download
window of course uses the download command and I could not paste the
formatter HEX code directly into the terminal nor the download windows to
format my new 128MB SD Card I'm trying out.
Also could it automatically close the com port on exit? I think that might
be why it's locking up. I have to use the Task Manager to close the IDE
every time this happens. Thoughts, poseurs (sp?), issues, ideas? What do
you think? Take care my friend!
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
James Moxham
Sent: Tuesday, September 09, 2014 8:46 PM
Subject: Re: [N8VEM: 18655] Re: Vintage + modern = fun
Hi Kip,
I put the files in the N8VEM repository. Have a read through each folder -
there is a readme.txt in there and the vhdl source code for the MMU.
http://n8vem-sbc.pbworks.com/w/page/4200908/FrontPage , right side of the
page, scroll down to "fpga emulation"
Cheers, James
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2014-09-11 04:39:02 UTC
Permalink
Hi James!
Wow! That was fast and I like the features you've added so far. Hummm...
Let's see what else I can dream up! :) I will check this new version of
the IDE out and get back with you. I have an early appointment at the VA
tomorrow so I'll have to shut down everything very soon. :( Oh well, part
of life I guess. Take care my friend.

Kip Koon
computerdoc-***@public.gmane.org
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/

-----Original Message-----
From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of
James Moxham
Sent: Wednesday, September 10, 2014 8:52 PM
To: n8vem-/***@public.gmane.org
Subject: Re: [N8VEM: 18660] Re: Vintage + modern = fun

Hi Kip,

Ok, I'll do all those things :)

New version in the N8VEM file repository in the folder fpga emulation/fpga
ide

1) The paste (^V) only works for C. It won't work in the terminal or
download screen.

2) But... if you want to transfer files, go to the transfer tab, click "add
files", then click download and it will send that file (or group of files).
The downside of doing it this way is that there is no ^V paste.
The upside is you can send a whole directory of files just by highlighting
them all, then go make a coffee.

3) I fixed the com port saving the last setting. I've been meaning to do
this for some time - thanks for getting me to fix this - now it is easier
for me too. Ok, when you first run the program, it looks for file
Grant_Searle_Settings.ini in the C:\N8VEM directory (it will assume that
directory exists). If it does not exist, it will create that file and then
save the com port as COM1 and the baud as 115200. It will then probably give
an error message saying that com port does not exist unless you happen to be
using COM1. Then go to Settings/Comport and change to your com port. It will
save on exit. Try restarting and it should all work automatically from that
point - it opens the com port when the program starts, and closes it when it
ends.

4) Z88dk needs to be in a folder in the C:\N8VEM directory, eg
C:\N8VEM\Z88DK Try File/New then compile with Z88dk and it will run a
batch file. The vb.net code is
Sub CompleAndDownloadZ88DK()
Dim Location As New Process
Call SaveBDSC()
FileOpen(1, "C:\N8VEM\z88dk\examples\temp\myfile.bat",
OpenMode.Output)

and so you can browse to that directory and check it created that batch
file, and see if it will run manually. If you have any problems with that
batch file, please post the batch file text.

5) There are quite a few steps to formatting a CP/M disk. So I took your
advice and now it is a one touch process. Tools/Format CPM. The default
file path for the files is Myfilepath = "c:\altera\grant
searle\cpm\hexfiles\" and if the hex files are there it will prompt with
the filename each time and so you just click "open" The instructions to
format a CP/M disk have been copied from Grant's website. They take quite a
while to do manually - much faster to let the computer do the following:

SendString(" ") ' send a space to talk via serial port
System.Windows.Forms.Application.DoEvents()
Sleep(1000)
OpenHexFile("FORM128.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
SendString("G5000" + vbCr) ' send a command to run form128
OpenHexFile("CPM22.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
OpenHexFile("CBIOS128.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
OpenHexFile("PUTSYS.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
SendString("G5000" + vbCr) ' send a command to run putsys
SendString("XY") ' boot to CPM to show it works
Sleep(1000)
MsgBox("Press the reset button on the board")
SendString(" ") ' send a space
OpenHexFile("DOWNLOAD2.HEX") ' read into richtextbox1 -
relocated version of download
SendString(RichTextBox1.Text) ' send out the hex file
SendString("GFFE8" + vbCr) 'this will relocate RAM 4100-->0100
and restart CP/M)
SendString("SAVE 2 DOWNLOAD.COM" + vbCr) ' save download.com

6) We could probably automate the MP/M installation process too, once Max
has squished all the bugs.

7) Other assemblers and compilers? Why not. I've added one for Assembly -
click New, then compile it and it will print A. This uses L80.COM and
M80.COM and a little batch file written in CP/M
M80 =$1 /Z/C/L/M
L80 $1,$1/N/E
$1
The template can be copied for other languages. Generally it is a tab
screen, a text box, New Open Save and Compile buttons. The compile button
just runs a batch file in CPM eg TypeLine("SUPERSUB ZASM " +
FilenameNoExtension + vbCr)

So if you want to add your favourite compiler, get it working on the board
first, then we can add it to the IDE.



Great to see someone else using this - it will keep me motivated to keep
adding things.

Let me know if you find any bugs.

Cheers, James
Post by Kip Koon
Hi James!
I tried your Grant Searle FPGA Retro Computer IDE you created. I love it!
I do have a couple of small questions about it though. I'm having
some trouble using your FPGA IDE - to get it to function correctly.
It won't let me paste anything into the terminal or download windows
and it keeps locking up when I try to exit the program. When I
restart the program, it can't or won't open the com port so I have to
restart my laptop.
Also it does not remember my com port setting. I have to re-enter my
com port number every time I run the program. I'm running a 4 port
USB hub and
my com port number is COM10 when I use my serial -> USB cable with it.
If I
plug up my Serial -> USB cable from my Multicomp straight into my
laptop which requires me to unplug my mouse, the com port number is
COM8 not COM3.
Any ideas as to how to make it store the last used com port setting
and directory location?
I also downloaded and installed the z88dk program you spoke about.
The test performed correctly as shown in the documentation. How do I
connect it to the Grant Searle FPGA Retro Computer IDE or configure
the IDE to see z88dk?
Have I missed something somewhere?
What about connecting assemblers and compilers for other
microprocessors to the IDE? I for one would like an excellent 6809
software setup and since Grant Searle has the 6800 functional now, how
about an excellent 6800 assembler and compiler setup as well. Also
the 6502 users out here in Multicomp land would probably like an
excellent 6502 assembler and compiler setup as well. Sorry to ask a
lot of you. I know it has probably taken a long time to develop this
IDE as far as you have and I salute you for putting all that effort
into this IDE!
Otherwise, I would like to congratulate you on a great idea for a
Development System for the Multicomp. Thanks a bunch for an excellent
effort on a wonderful program! I look forward to any ideas for
updates you may have in store for this IDE. By the way, how about a
function or window to format an SD Card and install the boot files for
CP/M 2.2? The Download window of course uses the download command and
I could not paste the formatter HEX code directly into the terminal
nor the download windows to format my new 128MB SD Card I'm trying
out.
Also could it automatically close the com port on exit? I think that
might be why it's locking up. I have to use the Task Manager to close
the IDE every time this happens. Thoughts, poseurs (sp?), issues,
ideas? What do you think? Take care my friend!
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Of James Moxham
Sent: Tuesday, September 09, 2014 8:46 PM
Subject: Re: [N8VEM: 18655] Re: Vintage + modern = fun
Hi Kip,
I put the files in the N8VEM repository. Have a read through each
folder
-
there is a readme.txt in there and the vhdl source code for the MMU.
http://n8vem-sbc.pbworks.com/w/page/4200908/FrontPage , right side of
the page, scroll down to "fpga emulation"
Cheers, James
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups
"N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2014-09-11 04:50:36 UTC
Permalink
Hi James!
Just a quick note! I just downloaded the IDE and it is MUCH better! The
com port situation works great! I have to create the c:\N8VEM directory,
but once I realized why the IDE kept having errors on startup, I created the
directory manually. Now I can experiment more with your IDE! I love your
IDE a lot better now. Thanks for encouraging me more. I appreciate it!
I'll see what I can do to think up more features. Take care my friend.


Kip Koon
computerdoc-***@public.gmane.org
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/


-----Original Message-----
From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of
Kip Koon
Sent: Thursday, September 11, 2014 12:39 AM
To: n8vem-/***@public.gmane.org
Subject: RE: [N8VEM: 18661] Re: Vintage + modern = fun

Hi James!
Wow! That was fast and I like the features you've added so far. Hummm...
Let's see what else I can dream up! :) I will check this new version of
the IDE out and get back with you. I have an early appointment at the VA
tomorrow so I'll have to shut down everything very soon. :( Oh well, part
of life I guess. Take care my friend.

Kip Koon
computerdoc-***@public.gmane.org
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/

-----Original Message-----
From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of
James Moxham
Sent: Wednesday, September 10, 2014 8:52 PM
To: n8vem-/***@public.gmane.org
Subject: Re: [N8VEM: 18660] Re: Vintage + modern = fun

Hi Kip,

Ok, I'll do all those things :)

New version in the N8VEM file repository in the folder fpga emulation/fpga
ide

1) The paste (^V) only works for C. It won't work in the terminal or
download screen.

2) But... if you want to transfer files, go to the transfer tab, click "add
files", then click download and it will send that file (or group of files).
The downside of doing it this way is that there is no ^V paste.
The upside is you can send a whole directory of files just by highlighting
them all, then go make a coffee.

3) I fixed the com port saving the last setting. I've been meaning to do
this for some time - thanks for getting me to fix this - now it is easier
for me too. Ok, when you first run the program, it looks for file
Grant_Searle_Settings.ini in the C:\N8VEM directory (it will assume that
directory exists). If it does not exist, it will create that file and then
save the com port as COM1 and the baud as 115200. It will then probably give
an error message saying that com port does not exist unless you happen to be
using COM1. Then go to Settings/Comport and change to your com port. It will
save on exit. Try restarting and it should all work automatically from that
point - it opens the com port when the program starts, and closes it when it
ends.

4) Z88dk needs to be in a folder in the C:\N8VEM directory, eg
C:\N8VEM\Z88DK Try File/New then compile with Z88dk and it will run a
batch file. The vb.net code is
Sub CompleAndDownloadZ88DK()
Dim Location As New Process
Call SaveBDSC()
FileOpen(1, "C:\N8VEM\z88dk\examples\temp\myfile.bat",
OpenMode.Output)

and so you can browse to that directory and check it created that batch
file, and see if it will run manually. If you have any problems with that
batch file, please post the batch file text.

5) There are quite a few steps to formatting a CP/M disk. So I took your
advice and now it is a one touch process. Tools/Format CPM. The default
file path for the files is Myfilepath = "c:\altera\grant
searle\cpm\hexfiles\" and if the hex files are there it will prompt with
the filename each time and so you just click "open" The instructions to
format a CP/M disk have been copied from Grant's website. They take quite a
while to do manually - much faster to let the computer do the following:

SendString(" ") ' send a space to talk via serial port
System.Windows.Forms.Application.DoEvents()
Sleep(1000)
OpenHexFile("FORM128.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
SendString("G5000" + vbCr) ' send a command to run form128
OpenHexFile("CPM22.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
OpenHexFile("CBIOS128.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
OpenHexFile("PUTSYS.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
SendString("G5000" + vbCr) ' send a command to run putsys
SendString("XY") ' boot to CPM to show it works
Sleep(1000)
MsgBox("Press the reset button on the board")
SendString(" ") ' send a space
OpenHexFile("DOWNLOAD2.HEX") ' read into richtextbox1 -
relocated version of download
SendString(RichTextBox1.Text) ' send out the hex file
SendString("GFFE8" + vbCr) 'this will relocate RAM 4100-->0100
and restart CP/M)
SendString("SAVE 2 DOWNLOAD.COM" + vbCr) ' save download.com

6) We could probably automate the MP/M installation process too, once Max
has squished all the bugs.

7) Other assemblers and compilers? Why not. I've added one for Assembly -
click New, then compile it and it will print A. This uses L80.COM and
M80.COM and a little batch file written in CP/M
M80 =$1 /Z/C/L/M
L80 $1,$1/N/E
$1
The template can be copied for other languages. Generally it is a tab
screen, a text box, New Open Save and Compile buttons. The compile button
just runs a batch file in CPM eg TypeLine("SUPERSUB ZASM " +
FilenameNoExtension + vbCr)

So if you want to add your favourite compiler, get it working on the board
first, then we can add it to the IDE.



Great to see someone else using this - it will keep me motivated to keep
adding things.

Let me know if you find any bugs.

Cheers, James
Post by Kip Koon
Hi James!
I tried your Grant Searle FPGA Retro Computer IDE you created. I love it!
I do have a couple of small questions about it though. I'm having
some trouble using your FPGA IDE - to get it to function correctly.
It won't let me paste anything into the terminal or download windows
and it keeps locking up when I try to exit the program. When I
restart the program, it can't or won't open the com port so I have to
restart my laptop.
Also it does not remember my com port setting. I have to re-enter my
com port number every time I run the program. I'm running a 4 port
USB hub and
my com port number is COM10 when I use my serial -> USB cable with it.
If I
plug up my Serial -> USB cable from my Multicomp straight into my
laptop which requires me to unplug my mouse, the com port number is
COM8 not COM3.
Any ideas as to how to make it store the last used com port setting
and directory location?
I also downloaded and installed the z88dk program you spoke about.
The test performed correctly as shown in the documentation. How do I
connect it to the Grant Searle FPGA Retro Computer IDE or configure
the IDE to see z88dk?
Have I missed something somewhere?
What about connecting assemblers and compilers for other
microprocessors to the IDE? I for one would like an excellent 6809
software setup and since Grant Searle has the 6800 functional now, how
about an excellent 6800 assembler and compiler setup as well. Also
the 6502 users out here in Multicomp land would probably like an
excellent 6502 assembler and compiler setup as well. Sorry to ask a
lot of you. I know it has probably taken a long time to develop this
IDE as far as you have and I salute you for putting all that effort
into this IDE!
Otherwise, I would like to congratulate you on a great idea for a
Development System for the Multicomp. Thanks a bunch for an excellent
effort on a wonderful program! I look forward to any ideas for
updates you may have in store for this IDE. By the way, how about a
function or window to format an SD Card and install the boot files for
CP/M 2.2? The Download window of course uses the download command and
I could not paste the formatter HEX code directly into the terminal
nor the download windows to format my new 128MB SD Card I'm trying
out.
Also could it automatically close the com port on exit? I think that
might be why it's locking up. I have to use the Task Manager to close
the IDE every time this happens. Thoughts, poseurs (sp?), issues,
ideas? What do you think? Take care my friend!
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Of James Moxham
Sent: Tuesday, September 09, 2014 8:46 PM
Subject: Re: [N8VEM: 18655] Re: Vintage + modern = fun
Hi Kip,
I put the files in the N8VEM repository. Have a read through each
folder
-
there is a readme.txt in there and the vhdl source code for the MMU.
http://n8vem-sbc.pbworks.com/w/page/4200908/FrontPage , right side of
the page, scroll down to "fpga emulation"
Cheers, James
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups
"N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.

--
You received this message because you are subscribed to the Google Groups
"N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2014-09-11 21:49:14 UTC
Permalink
Hi James!
I started working with the new version of the IDE and found a few things.
I clicked FILE->NEW and NEW.C was created in the C tab. Then I clicked
"Compile->Compile and Download C" and the file was downloaded to CP/M, but
to my surprise the next command was "SUPERSUB CC NEW" and not "CC NEW". CC
of course is not a submit (batch) file, it's the compiler itself. :) Was a
batch file supposed to have been created, downloaded and submitted to the
console command processor?
Also when I click "Serial->Open and CPM" I get the following output.
-----------------beginning of output-----------------------
^LPress [SPACE] to activate console
^L
CP/M Boot ROM 2.0 by G. Searle

BC or BW - ROM BASIC Cold/Warm
X - Boot CP/M (load $D000-$FFFF)
:nnnn... - Load Intel-Hex file record
Gnnnn - Run Loc nnnn
Post by Kip Koon
X
Boot CP/M?
Loading CP/M...
^LCP/M BIOS 2.0 by G. Searle 2013

CP/M 2.2 (c) 1979 by Digital Research

A>
A>B
B?

A>
----------------end of output-------------------
I looks like there are extra characters being sent to the Multicomp. The
IDE does boot CPM just fine, but with a few extra characters showing up on
the screen.
Even though I had already formatted all my SD Cards and placed some files on
each, I found one with just DOWNLOAD.COM on it, so I clicked Tools->Format
CPM and everything worked like clockwork! I like being able to manually
change to another directory for the files then clicking OPEN and the IDE
resuming. That was very neat to see happen, so I think the Format CPM
option of the Tools menu is good to go! :)
That's all I have at the moment. I'll come up with more to put into the IDE
soon. I have some ideas but I need to get some things setup in CP/M on the
Multicomp first so I can give you the exact wording of the commands. Take
care my friend.

Kip Koon
computerdoc-***@public.gmane.org
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/

-----Original Message-----
From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of
Kip Koon
Sent: Thursday, September 11, 2014 12:51 AM
To: n8vem-/***@public.gmane.org
Subject: RE: [N8VEM: 18662] Re: Vintage + modern = fun

Hi James!
Just a quick note! I just downloaded the IDE and it is MUCH better! The
com port situation works great! I have to create the c:\N8VEM directory,
but once I realized why the IDE kept having errors on startup, I created the
directory manually. Now I can experiment more with your IDE! I love your
IDE a lot better now. Thanks for encouraging me more. I appreciate it!
I'll see what I can do to think up more features. Take care my friend.


Kip Koon
computerdoc-***@public.gmane.org
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/


-----Original Message-----
From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of
Kip Koon
Sent: Thursday, September 11, 2014 12:39 AM
To: n8vem-/***@public.gmane.org
Subject: RE: [N8VEM: 18661] Re: Vintage + modern = fun

Hi James!
Wow! That was fast and I like the features you've added so far. Hummm...
Let's see what else I can dream up! :) I will check this new version of
the IDE out and get back with you. I have an early appointment at the VA
tomorrow so I'll have to shut down everything very soon. :( Oh well, part
of life I guess. Take care my friend.

Kip Koon
computerdoc-***@public.gmane.org
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/

-----Original Message-----
From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of
James Moxham
Sent: Wednesday, September 10, 2014 8:52 PM
To: n8vem-/***@public.gmane.org
Subject: Re: [N8VEM: 18660] Re: Vintage + modern = fun

Hi Kip,

Ok, I'll do all those things :)

New version in the N8VEM file repository in the folder fpga emulation/fpga
ide

1) The paste (^V) only works for C. It won't work in the terminal or
download screen.

2) But... if you want to transfer files, go to the transfer tab, click "add
files", then click download and it will send that file (or group of files).
The downside of doing it this way is that there is no ^V paste.
The upside is you can send a whole directory of files just by highlighting
them all, then go make a coffee.

3) I fixed the com port saving the last setting. I've been meaning to do
this for some time - thanks for getting me to fix this - now it is easier
for me too. Ok, when you first run the program, it looks for file
Grant_Searle_Settings.ini in the C:\N8VEM directory (it will assume that
directory exists). If it does not exist, it will create that file and then
save the com port as COM1 and the baud as 115200. It will then probably give
an error message saying that com port does not exist unless you happen to be
using COM1. Then go to Settings/Comport and change to your com port. It will
save on exit. Try restarting and it should all work automatically from that
point - it opens the com port when the program starts, and closes it when it
ends.

4) Z88dk needs to be in a folder in the C:\N8VEM directory, eg
C:\N8VEM\Z88DK Try File/New then compile with Z88dk and it will run a
batch file. The vb.net code is
Sub CompleAndDownloadZ88DK()
Dim Location As New Process
Call SaveBDSC()
FileOpen(1, "C:\N8VEM\z88dk\examples\temp\myfile.bat",
OpenMode.Output)

and so you can browse to that directory and check it created that batch
file, and see if it will run manually. If you have any problems with that
batch file, please post the batch file text.

5) There are quite a few steps to formatting a CP/M disk. So I took your
advice and now it is a one touch process. Tools/Format CPM. The default
file path for the files is Myfilepath = "c:\altera\grant
searle\cpm\hexfiles\" and if the hex files are there it will prompt with
the filename each time and so you just click "open" The instructions to
format a CP/M disk have been copied from Grant's website. They take quite a
while to do manually - much faster to let the computer do the following:

SendString(" ") ' send a space to talk via serial port
System.Windows.Forms.Application.DoEvents()
Sleep(1000)
OpenHexFile("FORM128.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
SendString("G5000" + vbCr) ' send a command to run form128
OpenHexFile("CPM22.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
OpenHexFile("CBIOS128.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
OpenHexFile("PUTSYS.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
SendString("G5000" + vbCr) ' send a command to run putsys
SendString("XY") ' boot to CPM to show it works
Sleep(1000)
MsgBox("Press the reset button on the board")
SendString(" ") ' send a space
OpenHexFile("DOWNLOAD2.HEX") ' read into richtextbox1 -
relocated version of download
SendString(RichTextBox1.Text) ' send out the hex file
SendString("GFFE8" + vbCr) 'this will relocate RAM 4100-->0100
and restart CP/M)
SendString("SAVE 2 DOWNLOAD.COM" + vbCr) ' save download.com

6) We could probably automate the MP/M installation process too, once Max
has squished all the bugs.

7) Other assemblers and compilers? Why not. I've added one for Assembly -
click New, then compile it and it will print A. This uses L80.COM and
M80.COM and a little batch file written in CP/M
M80 =$1 /Z/C/L/M
L80 $1,$1/N/E
$1
The template can be copied for other languages. Generally it is a tab
screen, a text box, New Open Save and Compile buttons. The compile button
just runs a batch file in CPM eg TypeLine("SUPERSUB ZASM " +
FilenameNoExtension + vbCr)

So if you want to add your favourite compiler, get it working on the board
first, then we can add it to the IDE.



Great to see someone else using this - it will keep me motivated to keep
adding things.

Let me know if you find any bugs.

Cheers, James
Post by Kip Koon
Hi James!
I tried your Grant Searle FPGA Retro Computer IDE you created. I love it!
I do have a couple of small questions about it though. I'm having
some trouble using your FPGA IDE - to get it to function correctly.
It won't let me paste anything into the terminal or download windows
and it keeps locking up when I try to exit the program. When I
restart the program, it can't or won't open the com port so I have to
restart my laptop.
Also it does not remember my com port setting. I have to re-enter my
com port number every time I run the program. I'm running a 4 port
USB hub and
my com port number is COM10 when I use my serial -> USB cable with it.
If I
plug up my Serial -> USB cable from my Multicomp straight into my
laptop which requires me to unplug my mouse, the com port number is
COM8 not COM3.
Any ideas as to how to make it store the last used com port setting
and directory location?
I also downloaded and installed the z88dk program you spoke about.
The test performed correctly as shown in the documentation. How do I
connect it to the Grant Searle FPGA Retro Computer IDE or configure
the IDE to see z88dk?
Have I missed something somewhere?
What about connecting assemblers and compilers for other
microprocessors to the IDE? I for one would like an excellent 6809
software setup and since Grant Searle has the 6800 functional now, how
about an excellent 6800 assembler and compiler setup as well. Also
the 6502 users out here in Multicomp land would probably like an
excellent 6502 assembler and compiler setup as well. Sorry to ask a
lot of you. I know it has probably taken a long time to develop this
IDE as far as you have and I salute you for putting all that effort
into this IDE!
Otherwise, I would like to congratulate you on a great idea for a
Development System for the Multicomp. Thanks a bunch for an excellent
effort on a wonderful program! I look forward to any ideas for
updates you may have in store for this IDE. By the way, how about a
function or window to format an SD Card and install the boot files for
CP/M 2.2? The Download window of course uses the download command and
I could not paste the formatter HEX code directly into the terminal
nor the download windows to format my new 128MB SD Card I'm trying
out.
Also could it automatically close the com port on exit? I think that
might be why it's locking up. I have to use the Task Manager to close
the IDE every time this happens. Thoughts, poseurs (sp?), issues,
ideas? What do you think? Take care my friend!
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Of James Moxham
Sent: Tuesday, September 09, 2014 8:46 PM
Subject: Re: [N8VEM: 18655] Re: Vintage + modern = fun
Hi Kip,
I put the files in the N8VEM repository. Have a read through each
folder
-
there is a readme.txt in there and the vhdl source code for the MMU.
http://n8vem-sbc.pbworks.com/w/page/4200908/FrontPage , right side of
the page, scroll down to "fpga emulation"
Cheers, James
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups
"N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.

--
You received this message because you are subscribed to the Google Groups
"N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.

--
You received this message because you are subscribed to the Google Groups
"N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham
2014-09-11 23:02:09 UTC
Permalink
Hi Kip,

Yes a few bugs there. That looks like code left over from when I was using
BSDC which is a CP/M program. I changed over to using a cross compiler
recently as the cross compiler can handle inline assembly. But there are
still bits of BDSC in there - I'll remove that.

Re the B being sent, that is a command used for Max Scane's MP/M setup. I
left it in there as it doesn't do any harm to CP/M. So that is a
'feature', not a bug :)

Great to hear the automatic formatting is working.

Cheers, James
Post by Kip Koon
Hi James!
I started working with the new version of the IDE and found a few things.
I clicked FILE->NEW and NEW.C was created in the C tab. Then I clicked
"Compile->Compile and Download C" and the file was downloaded to CP/M, but
to my surprise the next command was "SUPERSUB CC NEW" and not "CC NEW".
CC
of course is not a submit (batch) file, it's the compiler itself. :)
Was a
batch file supposed to have been created, downloaded and submitted to the
console command processor?
Also when I click "Serial->Open and CPM" I get the following output.
-----------------beginning of output-----------------------
^LPress [SPACE] to activate console
^L
CP/M Boot ROM 2.0 by G. Searle
BC or BW - ROM BASIC Cold/Warm
X - Boot CP/M (load $D000-$FFFF)
:nnnn... - Load Intel-Hex file record
Gnnnn - Run Loc nnnn
Post by Kip Koon
X
Boot CP/M?
Loading CP/M...
^LCP/M BIOS 2.0 by G. Searle 2013
CP/M 2.2 (c) 1979 by Digital Research
A>
A>B
B?
A>
----------------end of output-------------------
I looks like there are extra characters being sent to the Multicomp. The
IDE does boot CPM just fine, but with a few extra characters showing up on
the screen.
Even though I had already formatted all my SD Cards and placed some files on
each, I found one with just DOWNLOAD.COM on it, so I clicked
Tools->Format
CPM and everything worked like clockwork! I like being able to manually
change to another directory for the files then clicking OPEN and the IDE
resuming. That was very neat to see happen, so I think the Format CPM
option of the Tools menu is good to go! :)
That's all I have at the moment. I'll come up with more to put into the IDE
soon. I have some ideas but I need to get some things setup in CP/M on the
Multicomp first so I can give you the exact wording of the commands.
Take
care my friend.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Kip Koon
Sent: Thursday, September 11, 2014 12:51 AM
Subject: RE: [N8VEM: 18662] Re: Vintage + modern = fun
Hi James!
Just a quick note! I just downloaded the IDE and it is MUCH better! The
com port situation works great! I have to create the c:\N8VEM directory,
but once I realized why the IDE kept having errors on startup, I created the
directory manually. Now I can experiment more with your IDE! I love your
IDE a lot better now. Thanks for encouraging me more. I appreciate it!
I'll see what I can do to think up more features. Take care my friend.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Kip Koon
Sent: Thursday, September 11, 2014 12:39 AM
Subject: RE: [N8VEM: 18661] Re: Vintage + modern = fun
Hi James!
Wow! That was fast and I like the features you've added so far.
Hummm...
Let's see what else I can dream up! :) I will check this new version of
the IDE out and get back with you. I have an early appointment at the VA
tomorrow so I'll have to shut down everything very soon. :( Oh well, part
of life I guess. Take care my friend.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
James Moxham
Sent: Wednesday, September 10, 2014 8:52 PM
Subject: Re: [N8VEM: 18660] Re: Vintage + modern = fun
Hi Kip,
Ok, I'll do all those things :)
New version in the N8VEM file repository in the folder fpga
emulation/fpga
ide
1) The paste (^V) only works for C. It won't work in the terminal or
download screen.
2) But... if you want to transfer files, go to the transfer tab, click "add
files", then click download and it will send that file (or group of files).
The downside of doing it this way is that there is no ^V paste.
The upside is you can send a whole directory of files just by
highlighting
them all, then go make a coffee.
3) I fixed the com port saving the last setting. I've been meaning to do
this for some time - thanks for getting me to fix this - now it is easier
for me too. Ok, when you first run the program, it looks for file
Grant_Searle_Settings.ini in the C:\N8VEM directory (it will assume that
directory exists). If it does not exist, it will create that file and then
save the com port as COM1 and the baud as 115200. It will then probably give
an error message saying that com port does not exist unless you happen to be
using COM1. Then go to Settings/Comport and change to your com port. It will
save on exit. Try restarting and it should all work automatically from that
point - it opens the com port when the program starts, and closes it when it
ends.
4) Z88dk needs to be in a folder in the C:\N8VEM directory, eg
C:\N8VEM\Z88DK Try File/New then compile with Z88dk and it will run a
batch file. The vb.net code is
Sub CompleAndDownloadZ88DK()
Dim Location As New Process
Call SaveBDSC()
FileOpen(1, "C:\N8VEM\z88dk\examples\temp\myfile.bat",
OpenMode.Output)
and so you can browse to that directory and check it created that batch
file, and see if it will run manually. If you have any problems with that
batch file, please post the batch file text.
5) There are quite a few steps to formatting a CP/M disk. So I took your
advice and now it is a one touch process. Tools/Format CPM. The default
file path for the files is Myfilepath = "c:\altera\grant
searle\cpm\hexfiles\" and if the hex files are there it will prompt with
the filename each time and so you just click "open" The instructions to
format a CP/M disk have been copied from Grant's website. They take quite a
SendString(" ") ' send a space to talk via serial port
System.Windows.Forms.Application.DoEvents()
Sleep(1000)
OpenHexFile("FORM128.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
SendString("G5000" + vbCr) ' send a command to run form128
OpenHexFile("CPM22.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
OpenHexFile("CBIOS128.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
OpenHexFile("PUTSYS.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
SendString("G5000" + vbCr) ' send a command to run putsys
SendString("XY") ' boot to CPM to show it works
Sleep(1000)
MsgBox("Press the reset button on the board")
SendString(" ") ' send a space
OpenHexFile("DOWNLOAD2.HEX") ' read into richtextbox1 -
relocated version of download
SendString(RichTextBox1.Text) ' send out the hex file
SendString("GFFE8" + vbCr) 'this will relocate RAM 4100-->0100
and restart CP/M)
SendString("SAVE 2 DOWNLOAD.COM" + vbCr) ' save download.com
6) We could probably automate the MP/M installation process too, once Max
has squished all the bugs.
7) Other assemblers and compilers? Why not. I've added one for Assembly -
click New, then compile it and it will print A. This uses L80.COM and
M80.COM and a little batch file written in CP/M
M80 =$1 /Z/C/L/M
L80 $1,$1/N/E
$1
The template can be copied for other languages. Generally it is a tab
screen, a text box, New Open Save and Compile buttons. The compile button
just runs a batch file in CPM eg TypeLine("SUPERSUB ZASM " +
FilenameNoExtension + vbCr)
So if you want to add your favourite compiler, get it working on the board
first, then we can add it to the IDE.
Great to see someone else using this - it will keep me motivated to keep
adding things.
Let me know if you find any bugs.
Cheers, James
Post by Kip Koon
Hi James!
I tried your Grant Searle FPGA Retro Computer IDE you created. I love it!
I do have a couple of small questions about it though. I'm having
some trouble using your FPGA IDE - to get it to function correctly.
It won't let me paste anything into the terminal or download windows
and it keeps locking up when I try to exit the program. When I
restart the program, it can't or won't open the com port so I have to
restart my laptop.
Also it does not remember my com port setting. I have to re-enter my
com port number every time I run the program. I'm running a 4 port
USB hub and
my com port number is COM10 when I use my serial -> USB cable with it.
If I
plug up my Serial -> USB cable from my Multicomp straight into my
laptop which requires me to unplug my mouse, the com port number is
COM8 not COM3.
Any ideas as to how to make it store the last used com port setting
and directory location?
I also downloaded and installed the z88dk program you spoke about.
The test performed correctly as shown in the documentation. How do I
connect it to the Grant Searle FPGA Retro Computer IDE or configure
the IDE to see z88dk?
Have I missed something somewhere?
What about connecting assemblers and compilers for other
microprocessors to the IDE? I for one would like an excellent 6809
software setup and since Grant Searle has the 6800 functional now, how
about an excellent 6800 assembler and compiler setup as well. Also
the 6502 users out here in Multicomp land would probably like an
excellent 6502 assembler and compiler setup as well. Sorry to ask a
lot of you. I know it has probably taken a long time to develop this
IDE as far as you have and I salute you for putting all that effort
into this IDE!
Otherwise, I would like to congratulate you on a great idea for a
Development System for the Multicomp. Thanks a bunch for an excellent
effort on a wonderful program! I look forward to any ideas for
updates you may have in store for this IDE. By the way, how about a
function or window to format an SD Card and install the boot files for
CP/M 2.2? The Download window of course uses the download command and
I could not paste the formatter HEX code directly into the terminal
nor the download windows to format my new 128MB SD Card I'm trying
out.
Also could it automatically close the com port on exit? I think that
might be why it's locking up. I have to use the Task Manager to close
the IDE every time this happens. Thoughts, poseurs (sp?), issues,
ideas? What do you think? Take care my friend!
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Of James Moxham
Sent: Tuesday, September 09, 2014 8:46 PM
Subject: Re: [N8VEM: 18655] Re: Vintage + modern = fun
Hi Kip,
I put the files in the N8VEM repository. Have a read through each
folder
-
there is a readme.txt in there and the vhdl source code for the MMU.
http://n8vem-sbc.pbworks.com/w/page/4200908/FrontPage , right side of
the page, scroll down to "fpga emulation"
Cheers, James
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2014-09-12 05:23:03 UTC
Permalink
Hi James!
I've been looking for a program to 'unark' WS4.ARK. In the meantime, I
tried to get Wordstar 3.3 going, but it did not have a VT100 Terminal
setting. Well, I finally found unarc.com and successfully uncompressed the
Wordstar 4 archive. I ran WINSTALL.COM to configure WS4 then gave it a spin
and up came ye ole Wordstar 4! I haven't seen that screen on my screen in
decades except for the pictures on this list.
I've also been looking for VDO which I think is the editor your mentioned.
I found VDO.COM but it locked up when I tried to run it so I had to reset
the Multicomp. I remember you said you are using VDO. Would you send me a
copy. Thanks a bunch.
I was thinking about how nice it would be to have a scroll bar in the
terminal and transfer tabs so the user can scroll back up the output from
CP/M and examine stuff. I would like it to have a large buffer as well.
My second FPGA Mini-Development PCB came in today, so I'm going to work on
integrating the MMU VHDL file into the Z80 Multicomp. I'll need your top
level VHDL code for the MMU controller for the external static ram.
I have modified the 6809 Multicomp with three simple assignment statements
setting A16, A17 and A18 to '0' (zero) and compiled the 6809 Microcomputer
so I'm going to program my new FPGA PCB and see how well I did. I expect to
see a very high amount of free ram in Grant's 6809 Extended Basic! I'll let
you know the results. After all that is successful, then I'm going to test
the 6800 VHDL I found and see if I can get an Altair680 monitor program to
function correctly as well. It's going to be an interesting night! Take
care my friends.

Kip Koon
computerdoc-***@public.gmane.org
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/


-----Original Message-----
From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of
James Moxham
Sent: Thursday, September 11, 2014 7:02 PM
To: n8vem-/***@public.gmane.org
Subject: Re: [N8VEM: 18666] Re: Vintage + modern = fun

Hi Kip,

Yes a few bugs there. That looks like code left over from when I was using
BSDC which is a CP/M program. I changed over to using a cross compiler
recently as the cross compiler can handle inline assembly. But there are
still bits of BDSC in there - I'll remove that.

Re the B being sent, that is a command used for Max Scane's MP/M setup. I
left it in there as it doesn't do any harm to CP/M. So that is a 'feature',
not a bug :)

Great to hear the automatic formatting is working.

Cheers, James
Post by Kip Koon
Hi James!
I started working with the new version of the IDE and found a few things.
I clicked FILE->NEW and NEW.C was created in the C tab. Then I
clicked "Compile->Compile and Download C" and the file was downloaded
to CP/M, but
to my surprise the next command was "SUPERSUB CC NEW" and not "CC NEW".
CC
of course is not a submit (batch) file, it's the compiler itself. :)
Was a
batch file supposed to have been created, downloaded and submitted to
the console command processor?
Also when I click "Serial->Open and CPM" I get the following output.
-----------------beginning of output----------------------- ^LPress
[SPACE] to activate console ^L CP/M Boot ROM 2.0 by G. Searle
BC or BW - ROM BASIC Cold/Warm
X - Boot CP/M (load $D000-$FFFF)
:nnnn... - Load Intel-Hex file record
Gnnnn - Run Loc nnnn
Post by Kip Koon
X
Boot CP/M?
Loading CP/M...
^LCP/M BIOS 2.0 by G. Searle 2013
CP/M 2.2 (c) 1979 by Digital Research
A>
A>B
B?
A>
----------------end of output------------------- I looks like there
are extra characters being sent to the Multicomp. The IDE does boot
CPM just fine, but with a few extra characters showing up on the
screen.
Even though I had already formatted all my SD Cards and placed some
files on each, I found one with just DOWNLOAD.COM on it, so I clicked
Tools->Format
CPM and everything worked like clockwork! I like being able to
manually change to another directory for the files then clicking OPEN
and the IDE resuming. That was very neat to see happen, so I think
the Format CPM option of the Tools menu is good to go! :) That's all
I have at the moment. I'll come up with more to put into the IDE
soon. I have some ideas but I need to get some things setup in CP/M on the
Multicomp first so I can give you the exact wording of the commands.
Take
care my friend.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Of Kip Koon
Sent: Thursday, September 11, 2014 12:51 AM
Subject: RE: [N8VEM: 18662] Re: Vintage + modern = fun
Hi James!
Just a quick note! I just downloaded the IDE and it is MUCH better!
The com port situation works great! I have to create the c:\N8VEM
directory, but once I realized why the IDE kept having errors on
startup, I created the directory manually. Now I can experiment more
with your IDE! I love your IDE a lot better now. Thanks for
encouraging me more. I appreciate it!
I'll see what I can do to think up more features. Take care my friend.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Of Kip Koon
Sent: Thursday, September 11, 2014 12:39 AM
Subject: RE: [N8VEM: 18661] Re: Vintage + modern = fun
Hi James!
Wow! That was fast and I like the features you've added so far.
Hummm...
Let's see what else I can dream up! :) I will check this new version
of the IDE out and get back with you. I have an early appointment at
the VA tomorrow so I'll have to shut down everything very soon. :(
Oh well, part of life I guess. Take care my friend.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Of James Moxham
Sent: Wednesday, September 10, 2014 8:52 PM
Subject: Re: [N8VEM: 18660] Re: Vintage + modern = fun
Hi Kip,
Ok, I'll do all those things :)
New version in the N8VEM file repository in the folder fpga
emulation/fpga ide
1) The paste (^V) only works for C. It won't work in the terminal or
download screen.
2) But... if you want to transfer files, go to the transfer tab, click
"add files", then click download and it will send that file (or group
of files).
The downside of doing it this way is that there is no ^V paste.
The upside is you can send a whole directory of files just by
highlighting them all, then go make a coffee.
3) I fixed the com port saving the last setting. I've been meaning to
do this for some time - thanks for getting me to fix this - now it is
easier for me too. Ok, when you first run the program, it looks for
file Grant_Searle_Settings.ini in the C:\N8VEM directory (it will
assume that directory exists). If it does not exist, it will create
that file and then save the com port as COM1 and the baud as 115200.
It will then probably give an error message saying that com port does
not exist unless you happen to be using COM1. Then go to
Settings/Comport and change to your com port. It will save on exit.
Try restarting and it should all work automatically from that point -
it opens the com port when the program starts, and closes it when it
ends.
4) Z88dk needs to be in a folder in the C:\N8VEM directory, eg
C:\N8VEM\Z88DK Try File/New then compile with Z88dk and it will run a
batch file. The vb.net code is
Sub CompleAndDownloadZ88DK()
Dim Location As New Process
Call SaveBDSC()
FileOpen(1, "C:\N8VEM\z88dk\examples\temp\myfile.bat",
OpenMode.Output)
and so you can browse to that directory and check it created that
batch file, and see if it will run manually. If you have any problems
with that batch file, please post the batch file text.
5) There are quite a few steps to formatting a CP/M disk. So I took
your advice and now it is a one touch process. Tools/Format CPM. The
default file path for the files is Myfilepath = "c:\altera\grant
searle\cpm\hexfiles\" and if the hex files are there it will prompt
with the filename each time and so you just click "open" The
instructions to format a CP/M disk have been copied from Grant's
website. They take quite a while to do manually - much faster to let
SendString(" ") ' send a space to talk via serial port
System.Windows.Forms.Application.DoEvents()
Sleep(1000)
OpenHexFile("FORM128.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
SendString("G5000" + vbCr) ' send a command to run form128
OpenHexFile("CPM22.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
OpenHexFile("CBIOS128.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
OpenHexFile("PUTSYS.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
SendString("G5000" + vbCr) ' send a command to run putsys
SendString("XY") ' boot to CPM to show it works
Sleep(1000)
MsgBox("Press the reset button on the board")
SendString(" ") ' send a space
OpenHexFile("DOWNLOAD2.HEX") ' read into richtextbox1 -
relocated version of download
SendString(RichTextBox1.Text) ' send out the hex file
SendString("GFFE8" + vbCr) 'this will relocate RAM 4100-->0100
and restart CP/M)
SendString("SAVE 2 DOWNLOAD.COM" + vbCr) ' save
download.com
6) We could probably automate the MP/M installation process too, once
Max has squished all the bugs.
7) Other assemblers and compilers? Why not. I've added one for
Assembly - click New, then compile it and it will print A. This uses
L80.COM and M80.COM and a little batch file written in CP/M
M80 =$1 /Z/C/L/M
L80 $1,$1/N/E
$1
The template can be copied for other languages. Generally it is a
tab screen, a text box, New Open Save and Compile buttons. The compile
button just runs a batch file in CPM eg TypeLine("SUPERSUB ZASM " +
FilenameNoExtension + vbCr)
So if you want to add your favourite compiler, get it working on the
board first, then we can add it to the IDE.
Great to see someone else using this - it will keep me motivated to
keep adding things.
Let me know if you find any bugs.
Cheers, James
Post by Kip Koon
Hi James!
I tried your Grant Searle FPGA Retro Computer IDE you created. I love it!
I do have a couple of small questions about it though. I'm having
some trouble using your FPGA IDE - to get it to function correctly.
It won't let me paste anything into the terminal or download windows
and it keeps locking up when I try to exit the program. When I
restart the program, it can't or won't open the com port so I have to
restart my laptop.
Also it does not remember my com port setting. I have to re-enter my
com port number every time I run the program. I'm running a 4 port
USB hub and my com port number is COM10 when I use my serial -> USB
cable with it.
If I
plug up my Serial -> USB cable from my Multicomp straight into my
laptop which requires me to unplug my mouse, the com port number is
COM8 not COM3.
Any ideas as to how to make it store the last used com port setting
and directory location?
I also downloaded and installed the z88dk program you spoke about.
The test performed correctly as shown in the documentation. How do I
connect it to the Grant Searle FPGA Retro Computer IDE or configure
the IDE to see z88dk?
Have I missed something somewhere?
What about connecting assemblers and compilers for other
microprocessors to the IDE? I for one would like an excellent 6809
software setup and since Grant Searle has the 6800 functional now,
how about an excellent 6800 assembler and compiler setup as well.
Also the 6502 users out here in Multicomp land would probably like an
excellent 6502 assembler and compiler setup as well. Sorry to ask a
lot of you. I know it has probably taken a long time to develop this
IDE as far as you have and I salute you for putting all that effort
into this IDE!
Otherwise, I would like to congratulate you on a great idea for a
Development System for the Multicomp. Thanks a bunch for an
excellent effort on a wonderful program! I look forward to any ideas
for updates you may have in store for this IDE. By the way, how
about a function or window to format an SD Card and install the boot
files for CP/M 2.2? The Download window of course uses the download
command and I could not paste the formatter HEX code directly into
the terminal nor the download windows to format my new 128MB SD Card
I'm trying out.
Also could it automatically close the com port on exit? I think that
might be why it's locking up. I have to use the Task Manager to
close the IDE every time this happens. Thoughts, poseurs (sp?),
issues, ideas? What do you think? Take care my friend!
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Behalf Of James Moxham
Sent: Tuesday, September 09, 2014 8:46 PM
Subject: Re: [N8VEM: 18655] Re: Vintage + modern = fun
Hi Kip,
I put the files in the N8VEM repository. Have a read through each
folder
-
there is a readme.txt in there and the vhdl source code for the MMU.
http://n8vem-sbc.pbworks.com/w/page/4200908/FrontPage , right side
of the page, scroll down to "fpga emulation"
Cheers, James
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it,
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups
"N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham
2014-09-12 05:30:25 UTC
Permalink
Hi Kip,

Great to hear you have wordstar working.

Sorry I don't know much about VDO so can't help there.

Re scroll bars, it could be a bit tricky, as behind the scenes it is a
vt100 monitor and the basis of the vb.net code starts with a 80x40 array
so it discards things that scroll off the screen. I've written code in the
past that just dumps things to a richtextbox, but then you don't have
vt100 so wordstar won't work. For me, what I do if I want to see a long
list of things is I use a standard terminal program eg puTTy.

Cheers, James
Post by Kip Koon
Hi James!
I've been looking for a program to 'unark' WS4.ARK. In the meantime, I
tried to get Wordstar 3.3 going, but it did not have a VT100 Terminal
setting. Well, I finally found unarc.com and successfully uncompressed the
Wordstar 4 archive. I ran WINSTALL.COM to configure WS4 then gave it a spin
and up came ye ole Wordstar 4! I haven't seen that screen on my screen in
decades except for the pictures on this list.
I've also been looking for VDO which I think is the editor your mentioned.
I found VDO.COM but it locked up when I tried to run it so I had to reset
the Multicomp. I remember you said you are using VDO. Would you send me a
copy. Thanks a bunch.
I was thinking about how nice it would be to have a scroll bar in the
terminal and transfer tabs so the user can scroll back up the output from
CP/M and examine stuff. I would like it to have a large buffer as well.
My second FPGA Mini-Development PCB came in today, so I'm going to work on
integrating the MMU VHDL file into the Z80 Multicomp. I'll need your top
level VHDL code for the MMU controller for the external static ram.
I have modified the 6809 Multicomp with three simple assignment statements
setting A16, A17 and A18 to '0' (zero) and compiled the 6809
Microcomputer
so I'm going to program my new FPGA PCB and see how well I did. I expect to
see a very high amount of free ram in Grant's 6809 Extended Basic! I'll let
you know the results. After all that is successful, then I'm going to test
the 6800 VHDL I found and see if I can get an Altair680 monitor program to
function correctly as well. It's going to be an interesting night! Take
care my friends.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
James Moxham
Sent: Thursday, September 11, 2014 7:02 PM
Subject: Re: [N8VEM: 18666] Re: Vintage + modern = fun
Hi Kip,
Yes a few bugs there. That looks like code left over from when I was using
BSDC which is a CP/M program. I changed over to using a cross compiler
recently as the cross compiler can handle inline assembly. But there are
still bits of BDSC in there - I'll remove that.
Re the B being sent, that is a command used for Max Scane's MP/M setup. I
left it in there as it doesn't do any harm to CP/M. So that is a 'feature',
not a bug :)
Great to hear the automatic formatting is working.
Cheers, James
Post by Kip Koon
Hi James!
I started working with the new version of the IDE and found a few things.
I clicked FILE->NEW and NEW.C was created in the C tab. Then I
clicked "Compile->Compile and Download C" and the file was downloaded
to CP/M, but
to my surprise the next command was "SUPERSUB CC NEW" and not "CC NEW".
CC
of course is not a submit (batch) file, it's the compiler itself. :)
Was a
batch file supposed to have been created, downloaded and submitted to
the console command processor?
Also when I click "Serial->Open and CPM" I get the following output.
-----------------beginning of output----------------------- ^LPress
[SPACE] to activate console ^L CP/M Boot ROM 2.0 by G. Searle
BC or BW - ROM BASIC Cold/Warm
X - Boot CP/M (load $D000-$FFFF)
:nnnn... - Load Intel-Hex file record
Gnnnn - Run Loc nnnn
Post by Kip Koon
X
Boot CP/M?
Loading CP/M...
^LCP/M BIOS 2.0 by G. Searle 2013
CP/M 2.2 (c) 1979 by Digital Research
A>
A>B
B?
A>
----------------end of output------------------- I looks like there
are extra characters being sent to the Multicomp. The IDE does boot
CPM just fine, but with a few extra characters showing up on the
screen.
Even though I had already formatted all my SD Cards and placed some
files on each, I found one with just DOWNLOAD.COM on it, so I clicked
Tools->Format
CPM and everything worked like clockwork! I like being able to
manually change to another directory for the files then clicking OPEN
and the IDE resuming. That was very neat to see happen, so I think
the Format CPM option of the Tools menu is good to go! :) That's all
I have at the moment. I'll come up with more to put into the IDE
soon. I have some ideas but I need to get some things setup in CP/M on the
Multicomp first so I can give you the exact wording of the commands.
Take
care my friend.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Of Kip Koon
Sent: Thursday, September 11, 2014 12:51 AM
Subject: RE: [N8VEM: 18662] Re: Vintage + modern = fun
Hi James!
Just a quick note! I just downloaded the IDE and it is MUCH better!
The com port situation works great! I have to create the c:\N8VEM
directory, but once I realized why the IDE kept having errors on
startup, I created the directory manually. Now I can experiment more
with your IDE! I love your IDE a lot better now. Thanks for
encouraging me more. I appreciate it!
I'll see what I can do to think up more features. Take care my friend.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Of Kip Koon
Sent: Thursday, September 11, 2014 12:39 AM
Subject: RE: [N8VEM: 18661] Re: Vintage + modern = fun
Hi James!
Wow! That was fast and I like the features you've added so far.
Hummm...
Let's see what else I can dream up! :) I will check this new version
of the IDE out and get back with you. I have an early appointment at
the VA tomorrow so I'll have to shut down everything very soon. :(
Oh well, part of life I guess. Take care my friend.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Of James Moxham
Sent: Wednesday, September 10, 2014 8:52 PM
Subject: Re: [N8VEM: 18660] Re: Vintage + modern = fun
Hi Kip,
Ok, I'll do all those things :)
New version in the N8VEM file repository in the folder fpga
emulation/fpga ide
1) The paste (^V) only works for C. It won't work in the terminal or
download screen.
2) But... if you want to transfer files, go to the transfer tab, click
"add files", then click download and it will send that file (or group
of files).
The downside of doing it this way is that there is no ^V paste.
The upside is you can send a whole directory of files just by
highlighting them all, then go make a coffee.
3) I fixed the com port saving the last setting. I've been meaning to
do this for some time - thanks for getting me to fix this - now it is
easier for me too. Ok, when you first run the program, it looks for
file Grant_Searle_Settings.ini in the C:\N8VEM directory (it will
assume that directory exists). If it does not exist, it will create
that file and then save the com port as COM1 and the baud as 115200.
It will then probably give an error message saying that com port does
not exist unless you happen to be using COM1. Then go to
Settings/Comport and change to your com port. It will save on exit.
Try restarting and it should all work automatically from that point -
it opens the com port when the program starts, and closes it when it
ends.
4) Z88dk needs to be in a folder in the C:\N8VEM directory, eg
C:\N8VEM\Z88DK Try File/New then compile with Z88dk and it will run a
batch file. The vb.net code is
Sub CompleAndDownloadZ88DK()
Dim Location As New Process
Call SaveBDSC()
FileOpen(1, "C:\N8VEM\z88dk\examples\temp\myfile.bat",
OpenMode.Output)
and so you can browse to that directory and check it created that
batch file, and see if it will run manually. If you have any problems
with that batch file, please post the batch file text.
5) There are quite a few steps to formatting a CP/M disk. So I took
your advice and now it is a one touch process. Tools/Format CPM. The
default file path for the files is Myfilepath = "c:\altera\grant
searle\cpm\hexfiles\" and if the hex files are there it will prompt
with the filename each time and so you just click "open" The
instructions to format a CP/M disk have been copied from Grant's
website. They take quite a while to do manually - much faster to let
SendString(" ") ' send a space to talk via serial port
System.Windows.Forms.Application.DoEvents()
Sleep(1000)
OpenHexFile("FORM128.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
SendString("G5000" + vbCr) ' send a command to run form128
OpenHexFile("CPM22.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
OpenHexFile("CBIOS128.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
OpenHexFile("PUTSYS.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
SendString("G5000" + vbCr) ' send a command to run putsys
SendString("XY") ' boot to CPM to show it works
Sleep(1000)
MsgBox("Press the reset button on the board")
SendString(" ") ' send a space
OpenHexFile("DOWNLOAD2.HEX") ' read into richtextbox1 -
relocated version of download
SendString(RichTextBox1.Text) ' send out the hex file
SendString("GFFE8" + vbCr) 'this will relocate RAM 4100-->0100
and restart CP/M)
SendString("SAVE 2 DOWNLOAD.COM" + vbCr) ' save
download.com
6) We could probably automate the MP/M installation process too, once
Max has squished all the bugs.
7) Other assemblers and compilers? Why not. I've added one for
Assembly - click New, then compile it and it will print A. This uses
L80.COM and M80.COM and a little batch file written in CP/M
M80 =$1 /Z/C/L/M
L80 $1,$1/N/E
$1
The template can be copied for other languages. Generally it is a
tab screen, a text box, New Open Save and Compile buttons. The compile
button just runs a batch file in CPM eg TypeLine("SUPERSUB ZASM " +
FilenameNoExtension + vbCr)
So if you want to add your favourite compiler, get it working on the
board first, then we can add it to the IDE.
Great to see someone else using this - it will keep me motivated to
keep adding things.
Let me know if you find any bugs.
Cheers, James
Post by Kip Koon
Hi James!
I tried your Grant Searle FPGA Retro Computer IDE you created. I love it!
I do have a couple of small questions about it though. I'm having
some trouble using your FPGA IDE - to get it to function correctly.
It won't let me paste anything into the terminal or download windows
and it keeps locking up when I try to exit the program. When I
restart the program, it can't or won't open the com port so I have to
restart my laptop.
Also it does not remember my com port setting. I have to re-enter my
com port number every time I run the program. I'm running a 4 port
USB hub and my com port number is COM10 when I use my serial -> USB
cable with it.
If I
plug up my Serial -> USB cable from my Multicomp straight into my
laptop which requires me to unplug my mouse, the com port number is
COM8 not COM3.
Any ideas as to how to make it store the last used com port setting
and directory location?
I also downloaded and installed the z88dk program you spoke about.
The test performed correctly as shown in the documentation. How do I
connect it to the Grant Searle FPGA Retro Computer IDE or configure
the IDE to see z88dk?
Have I missed something somewhere?
What about connecting assemblers and compilers for other
microprocessors to the IDE? I for one would like an excellent 6809
software setup and since Grant Searle has the 6800 functional now,
how about an excellent 6800 assembler and compiler setup as well.
Also the 6502 users out here in Multicomp land would probably like an
excellent 6502 assembler and compiler setup as well. Sorry to ask a
lot of you. I know it has probably taken a long time to develop this
IDE as far as you have and I salute you for putting all that effort
into this IDE!
Otherwise, I would like to congratulate you on a great idea for a
Development System for the Multicomp. Thanks a bunch for an
excellent effort on a wonderful program! I look forward to any ideas
for updates you may have in store for this IDE. By the way, how
about a function or window to format an SD Card and install the boot
files for CP/M 2.2? The Download window of course uses the download
command and I could not paste the formatter HEX code directly into
the terminal nor the download windows to format my new 128MB SD Card
I'm trying out.
Also could it automatically close the com port on exit? I think that
might be why it's locking up. I have to use the Task Manager to
close the IDE every time this happens. Thoughts, poseurs (sp?),
issues, ideas? What do you think? Take care my friend!
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Behalf Of James Moxham
Sent: Tuesday, September 09, 2014 8:46 PM
Subject: Re: [N8VEM: 18655] Re: Vintage + modern = fun
Hi Kip,
I put the files in the N8VEM repository. Have a read through each
folder
-
there is a readme.txt in there and the vhdl source code for the MMU.
http://n8vem-sbc.pbworks.com/w/page/4200908/FrontPage , right side
of the page, scroll down to "fpga emulation"
Cheers, James
--
You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it,
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2014-09-12 05:43:06 UTC
Permalink
Hi James!
Speaking of putty, I have Wordstar running in putty also! It looks perfect!
I wonder who mentioned VDO. I'll have to look back through my emails again.
I have to get back having FUN! :) Take care my friend.

Kip Koon
computerdoc-***@public.gmane.org
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/


-----Original Message-----
From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of
James Moxham
Sent: Friday, September 12, 2014 1:30 AM
To: n8vem-/***@public.gmane.org
Subject: Re: [N8VEM: 18669] Re: Vintage + modern = fun

Hi Kip,

Great to hear you have wordstar working.

Sorry I don't know much about VDO so can't help there.

Re scroll bars, it could be a bit tricky, as behind the scenes it is a
vt100 monitor and the basis of the vb.net code starts with a 80x40 array so
it discards things that scroll off the screen. I've written code in the past
that just dumps things to a richtextbox, but then you don't have
vt100 so wordstar won't work. For me, what I do if I want to see a long list
of things is I use a standard terminal program eg puTTy.

Cheers, James
Post by Kip Koon
Hi James!
I've been looking for a program to 'unark' WS4.ARK. In the meantime,
I tried to get Wordstar 3.3 going, but it did not have a VT100
Terminal setting. Well, I finally found unarc.com and successfully
uncompressed the Wordstar 4 archive. I ran WINSTALL.COM to configure
WS4 then gave it a spin and up came ye ole Wordstar 4! I haven't seen
that screen on my screen in decades except for the pictures on this
list.
I've also been looking for VDO which I think is the editor your mentioned.
I found VDO.COM but it locked up when I tried to run it so I had to
reset the Multicomp. I remember you said you are using VDO. Would
you send me a copy. Thanks a bunch.
I was thinking about how nice it would be to have a scroll bar in the
terminal and transfer tabs so the user can scroll back up the output
from CP/M and examine stuff. I would like it to have a large buffer as
well.
Post by Kip Koon
My second FPGA Mini-Development PCB came in today, so I'm going to
work on integrating the MMU VHDL file into the Z80 Multicomp. I'll
need your top level VHDL code for the MMU controller for the external
static ram.
I have modified the 6809 Multicomp with three simple assignment
statements setting A16, A17 and A18 to '0' (zero) and compiled the
6809 Microcomputer so I'm going to program my new FPGA PCB and see how
well I did. I expect to see a very high amount of free ram in Grant's
6809 Extended Basic! I'll let you know the results. After all that
is successful, then I'm going to test the 6800 VHDL I found and see if
I can get an Altair680 monitor program to function correctly as well.
It's going to be an interesting night! Take care my friends.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Of James Moxham
Sent: Thursday, September 11, 2014 7:02 PM
Subject: Re: [N8VEM: 18666] Re: Vintage + modern = fun
Hi Kip,
Yes a few bugs there. That looks like code left over from when I was
using BSDC which is a CP/M program. I changed over to using a cross
compiler recently as the cross compiler can handle inline assembly.
But there are still bits of BDSC in there - I'll remove that.
Re the B being sent, that is a command used for Max Scane's MP/M
setup. I left it in there as it doesn't do any harm to CP/M. So that
is a 'feature', not a bug :)
Great to hear the automatic formatting is working.
Cheers, James
Post by Kip Koon
Hi James!
I started working with the new version of the IDE and found a few things.
I clicked FILE->NEW and NEW.C was created in the C tab. Then I
clicked "Compile->Compile and Download C" and the file was
downloaded to CP/M, but to my surprise the next command was "SUPERSUB
CC NEW" and not "CC NEW".
CC
of course is not a submit (batch) file, it's the compiler itself. :)
Was a batch file supposed to have been created, downloaded and
submitted to the console command processor?
Also when I click "Serial->Open and CPM" I get the following output.
-----------------beginning of output----------------------- ^LPress
[SPACE] to activate console ^L CP/M Boot ROM 2.0 by G. Searle
BC or BW - ROM BASIC Cold/Warm
X - Boot CP/M (load $D000-$FFFF)
:nnnn... - Load Intel-Hex file record
Gnnnn - Run Loc nnnn
Post by Kip Koon
X
Boot CP/M?
Loading CP/M...
^LCP/M BIOS 2.0 by G. Searle 2013
CP/M 2.2 (c) 1979 by Digital Research
A>
A>B
B?
A>
----------------end of output------------------- I looks like there
are extra characters being sent to the Multicomp. The IDE does boot
CPM just fine, but with a few extra characters showing up on the
screen.
Even though I had already formatted all my SD Cards and placed some
files on each, I found one with just DOWNLOAD.COM on it, so I clicked
Tools->Format
CPM and everything worked like clockwork! I like being able to
manually change to another directory for the files then clicking OPEN
and the IDE resuming. That was very neat to see happen, so I think
the Format CPM option of the Tools menu is good to go! :) That's all
I have at the moment. I'll come up with more to put into the IDE
soon. I have some ideas but I need to get some things setup in CP/M
on the Multicomp first so I can give you the exact wording of the
commands.
Take
care my friend.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Behalf Of Kip Koon
Sent: Thursday, September 11, 2014 12:51 AM
Subject: RE: [N8VEM: 18662] Re: Vintage + modern = fun
Hi James!
Just a quick note! I just downloaded the IDE and it is MUCH better!
The com port situation works great! I have to create the c:\N8VEM
directory, but once I realized why the IDE kept having errors on
startup, I created the directory manually. Now I can experiment more
with your IDE! I love your IDE a lot better now. Thanks for
encouraging me more. I appreciate it!
I'll see what I can do to think up more features. Take care my friend.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Behalf Of Kip Koon
Sent: Thursday, September 11, 2014 12:39 AM
Subject: RE: [N8VEM: 18661] Re: Vintage + modern = fun
Hi James!
Wow! That was fast and I like the features you've added so far.
Hummm...
Let's see what else I can dream up! :) I will check this new
version of the IDE out and get back with you. I have an early
appointment at the VA tomorrow so I'll have to shut down everything
very soon. :( Oh well, part of life I guess. Take care my friend.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Behalf Of James Moxham
Sent: Wednesday, September 10, 2014 8:52 PM
Subject: Re: [N8VEM: 18660] Re: Vintage + modern = fun
Hi Kip,
Ok, I'll do all those things :)
New version in the N8VEM file repository in the folder fpga
emulation/fpga ide
1) The paste (^V) only works for C. It won't work in the terminal or
download screen.
2) But... if you want to transfer files, go to the transfer tab,
click "add files", then click download and it will send that file (or
group of files).
The downside of doing it this way is that there is no ^V paste.
The upside is you can send a whole directory of files just by
highlighting them all, then go make a coffee.
3) I fixed the com port saving the last setting. I've been meaning to
do this for some time - thanks for getting me to fix this - now it is
easier for me too. Ok, when you first run the program, it looks for
file Grant_Searle_Settings.ini in the C:\N8VEM directory (it will
assume that directory exists). If it does not exist, it will create
that file and then save the com port as COM1 and the baud as 115200.
It will then probably give an error message saying that com port does
not exist unless you happen to be using COM1. Then go to
Settings/Comport and change to your com port. It will save on exit.
Try restarting and it should all work automatically from that point -
it opens the com port when the program starts, and closes it when it
ends.
4) Z88dk needs to be in a folder in the C:\N8VEM directory, eg
C:\N8VEM\Z88DK Try File/New then compile with Z88dk and it will run a
batch file. The vb.net code is
Sub CompleAndDownloadZ88DK()
Dim Location As New Process
Call SaveBDSC()
FileOpen(1, "C:\N8VEM\z88dk\examples\temp\myfile.bat",
OpenMode.Output)
and so you can browse to that directory and check it created that
batch file, and see if it will run manually. If you have any problems
with that batch file, please post the batch file text.
5) There are quite a few steps to formatting a CP/M disk. So I took
your advice and now it is a one touch process. Tools/Format CPM. The
default file path for the files is Myfilepath = "c:\altera\grant
searle\cpm\hexfiles\" and if the hex files are there it will prompt
with the filename each time and so you just click "open" The
instructions to format a CP/M disk have been copied from Grant's
website. They take quite a while to do manually - much faster to let
SendString(" ") ' send a space to talk via serial port
System.Windows.Forms.Application.DoEvents()
Sleep(1000)
OpenHexFile("FORM128.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
SendString("G5000" + vbCr) ' send a command to run form128
OpenHexFile("CPM22.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
OpenHexFile("CBIOS128.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
OpenHexFile("PUTSYS.HEX") ' read into richtextbox1
SendString(RichTextBox1.Text) ' send out the hex file
SendString("G5000" + vbCr) ' send a command to run putsys
SendString("XY") ' boot to CPM to show it works
Sleep(1000)
MsgBox("Press the reset button on the board")
SendString(" ") ' send a space
OpenHexFile("DOWNLOAD2.HEX") ' read into richtextbox1 -
relocated version of download
SendString(RichTextBox1.Text) ' send out the hex file
SendString("GFFE8" + vbCr) 'this will relocate RAM 4100-->0100
and restart CP/M)
SendString("SAVE 2 DOWNLOAD.COM" + vbCr) ' save
download.com
6) We could probably automate the MP/M installation process too, once
Max has squished all the bugs.
7) Other assemblers and compilers? Why not. I've added one for
Assembly - click New, then compile it and it will print A. This uses
L80.COM and M80.COM and a little batch file written in CP/M
M80 =$1 /Z/C/L/M
L80 $1,$1/N/E
$1
The template can be copied for other languages. Generally it is a
tab screen, a text box, New Open Save and Compile buttons. The
compile button just runs a batch file in CPM eg TypeLine("SUPERSUB
ZASM " + FilenameNoExtension + vbCr)
So if you want to add your favourite compiler, get it working on the
board first, then we can add it to the IDE.
Great to see someone else using this - it will keep me motivated to
keep adding things.
Let me know if you find any bugs.
Cheers, James
Post by Kip Koon
Hi James!
I tried your Grant Searle FPGA Retro Computer IDE you created. I love it!
I do have a couple of small questions about it though. I'm having
some trouble using your FPGA IDE - to get it to function correctly.
It won't let me paste anything into the terminal or download windows
and it keeps locking up when I try to exit the program. When I
restart the program, it can't or won't open the com port so I have
to restart my laptop.
Also it does not remember my com port setting. I have to re-enter
my com port number every time I run the program. I'm running a 4
port USB hub and my com port number is COM10 when I use my serial ->
USB cable with it.
If I
plug up my Serial -> USB cable from my Multicomp straight into my
laptop which requires me to unplug my mouse, the com port number is
COM8 not COM3.
Any ideas as to how to make it store the last used com port setting
and directory location?
I also downloaded and installed the z88dk program you spoke about.
The test performed correctly as shown in the documentation. How do
I connect it to the Grant Searle FPGA Retro Computer IDE or
configure the IDE to see z88dk?
Have I missed something somewhere?
What about connecting assemblers and compilers for other
microprocessors to the IDE? I for one would like an excellent 6809
software setup and since Grant Searle has the 6800 functional now,
how about an excellent 6800 assembler and compiler setup as well.
Also the 6502 users out here in Multicomp land would probably like
an excellent 6502 assembler and compiler setup as well. Sorry to
ask a lot of you. I know it has probably taken a long time to
develop this IDE as far as you have and I salute you for putting all
that effort into this IDE!
Otherwise, I would like to congratulate you on a great idea for a
Development System for the Multicomp. Thanks a bunch for an
excellent effort on a wonderful program! I look forward to any
ideas for updates you may have in store for this IDE. By the way,
how about a function or window to format an SD Card and install the
boot files for CP/M 2.2? The Download window of course uses the
download command and I could not paste the formatter HEX code
directly into the terminal nor the download windows to format my new
128MB SD Card I'm trying out.
Also could it automatically close the com port on exit? I think
that might be why it's locking up. I have to use the Task Manager
to close the IDE every time this happens. Thoughts, poseurs (sp?),
issues, ideas? What do you think? Take care my friend!
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
-----Original Message-----
Behalf Of James Moxham
Sent: Tuesday, September 09, 2014 8:46 PM
Subject: Re: [N8VEM: 18655] Re: Vintage + modern = fun
Hi Kip,
I put the files in the N8VEM repository. Have a read through each
folder
-
there is a readme.txt in there and the vhdl source code for the MMU.
http://n8vem-sbc.pbworks.com/w/page/4200908/FrontPage , right side
of the page, scroll down to "fpga emulation"
Cheers, James
--
You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it,
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it,
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it,
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it,
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups
"N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham
2014-09-20 12:20:39 UTC
Permalink
Max Scan and I have been working on a new board design. It is essentially
Grant's board, but we started with the design criteria that the critical
connectors all needed to be on one side of the board so it could fit more
easily in a box.

This made the board a bit bigger, so there are a few additions, such as a
second ram chip (for 1mb of memory), and the touchscreen should run faster
as it will use a 16 bit data bus. We flipped the board upside down - the
idea is to use the tall stackable headers that are now easily available
thanks to the Arduino world, and so you can still connect the programming
cable.

It didn't quite fit in a 10x15cm board, so the TV is on the other edge as
that is probably the least likely connection one would use. We added a USB
socket - it is a bit of a fake as it isn't real USB but basically there
are keyboards with USB plugs that talk PS2. Also there were quite a few
input only pins that Grant didn't use - these are brought out to a header.
There are also headers for unused pins - eg if you don't use the
touchscreen there are now more general purpose I/O pins available.

We think it might be possible to add a cut down 2 pin tall stackable
header and connect directly to the 5V on P8 on the fpga board (getting the
5V is a bit of a challenge - with all those pins on the board you would
have thought one would be 5V!) So the fpga board will plug in, and then if
we change the design down the track it is possible to reuse the fpga board.

1mb of ram should be interesting - in theory it should allow 4 MP/M users,
and a decent sized ram disk at the same time. One MP/M user could be on
the VGA, one on the touchscreen and two on the serial ports.

There is a prototyping area. I thought a lot about all the other things
you could fit there, but I think a prototyping area is the most flexible.

5V input is along the board edge rather than via the fpga board.

There are headers for diagnostic leds so these can come out to a front
panel as well as a reset switch.

The SD card has a sil header next to it, so you can add other SD modules
(there are micro SD modules on ebay for only $2, but every one has a
different pinout so it is easier to just bring things to a header and use
pluggable female wires).

We are very close to getting this made so the question is - do we get 5 or
10 or 15?

Cheers, James Moxham
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Fabio Battaglia
2014-09-20 13:58:00 UTC
Permalink
I'd gladly get, and pay, for one. The previous version you sent me is used
as a transportable CP/M system to develop my set of libraries on the go,
but I could really use a boxable version :-)
Post by James Moxham
Max Scan and I have been working on a new board design. It is essentially
Grant's board, but we started with the design criteria that the critical
connectors all needed to be on one side of the board so it could fit more
easily in a box.
This made the board a bit bigger, so there are a few additions, such as a
second ram chip (for 1mb of memory), and the touchscreen should run faster
as it will use a 16 bit data bus. We flipped the board upside down - the
idea is to use the tall stackable headers that are now easily available
thanks to the Arduino world, and so you can still connect the programming
cable.
It didn't quite fit in a 10x15cm board, so the TV is on the other edge as
that is probably the least likely connection one would use. We added a USB
socket - it is a bit of a fake as it isn't real USB but basically there are
keyboards with USB plugs that talk PS2. Also there were quite a few input
only pins that Grant didn't use - these are brought out to a header. There
are also headers for unused pins - eg if you don't use the touchscreen
there are now more general purpose I/O pins available.
We think it might be possible to add a cut down 2 pin tall stackable
header and connect directly to the 5V on P8 on the fpga board (getting the
5V is a bit of a challenge - with all those pins on the board you would
have thought one would be 5V!) So the fpga board will plug in, and then if
we change the design down the track it is possible to reuse the fpga board.
1mb of ram should be interesting - in theory it should allow 4 MP/M users,
and a decent sized ram disk at the same time. One MP/M user could be on the
VGA, one on the touchscreen and two on the serial ports.
There is a prototyping area. I thought a lot about all the other things
you could fit there, but I think a prototyping area is the most flexible.
5V input is along the board edge rather than via the fpga board.
There are headers for diagnostic leds so these can come out to a front
panel as well as a reset switch.
The SD card has a sil header next to it, so you can add other SD modules
(there are micro SD modules on ebay for only $2, but every one has a
different pinout so it is easier to just bring things to a header and use
pluggable female wires).
We are very close to getting this made so the question is - do we get 5 or
10 or 15?
Cheers, James Moxham
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Paul Birkel
2014-09-20 14:28:26 UTC
Permalink
Why can say :->? But I'd like one, please.
Max Scan and I have been working on a new board design. ...
We are very close to getting this made so the question is - do we get 5 or
10 or 15?
Cheers, James Moxham
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
AG5AT
2014-09-20 17:19:56 UTC
Permalink
I am good for one.
Aug.
Borut
2014-09-20 20:39:16 UTC
Permalink
Hi James!

I would also like one. One minor suggestion if i may.
Could you make pads for soldiering SD card socket a little bigger.
A good example is 6x0x board, where the pads are two strips one on each
side and it is easy
to use other manufacturer's sockets.

Best regards,
Borut

On Saturday, September 20, 2014 2:21:41 PM UTC+2, James Moxham (Dr_Acula)
Post by James Moxham
Max Scan and I have been working on a new board design. It is essentially
Grant's board, but we started with the design criteria that the critical
connectors all needed to be on one side of the board so it could fit more
easily in a box.
This made the board a bit bigger, so there are a few additions, such as a
second ram chip (for 1mb of memory), and the touchscreen should run faster
as it will use a 16 bit data bus. We flipped the board upside down - the
idea is to use the tall stackable headers that are now easily available
thanks to the Arduino world, and so you can still connect the programming
cable.
It didn't quite fit in a 10x15cm board, so the TV is on the other edge as
that is probably the least likely connection one would use. We added a USB
socket - it is a bit of a fake as it isn't real USB but basically there
are keyboards with USB plugs that talk PS2. Also there were quite a few
input only pins that Grant didn't use - these are brought out to a header.
There are also headers for unused pins - eg if you don't use the
touchscreen there are now more general purpose I/O pins available.
We think it might be possible to add a cut down 2 pin tall stackable
header and connect directly to the 5V on P8 on the fpga board (getting the
5V is a bit of a challenge - with all those pins on the board you would
have thought one would be 5V!) So the fpga board will plug in, and then if
we change the design down the track it is possible to reuse the fpga board.
1mb of ram should be interesting - in theory it should allow 4 MP/M users,
and a decent sized ram disk at the same time. One MP/M user could be on
the VGA, one on the touchscreen and two on the serial ports.
There is a prototyping area. I thought a lot about all the other things
you could fit there, but I think a prototyping area is the most flexible.
5V input is along the board edge rather than via the fpga board.
There are headers for diagnostic leds so these can come out to a front
panel as well as a reset switch.
The SD card has a sil header next to it, so you can add other SD modules
(there are micro SD modules on ebay for only $2, but every one has a
different pinout so it is easier to just bring things to a header and use
pluggable female wires).
We are very close to getting this made so the question is - do we get 5 or
10 or 15?
Cheers, James Moxham
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham
2014-09-20 23:43:42 UTC
Permalink
Hi Borut,

Good thinking. Ok, I added some surface mount pads and then put these
right next to the SD pads so they are now twice as long. Ignore the blue
copper pour on the other side - this is so the autorouter doesn't put an
vias near the pads so it is easier to solder. Also I added the names to
the pins so if there are any problems it is easier to describe. Also I
moved the capacitor off to the side, so it is much easier to get a
soldering iron in.

Looks like might need more than 10 boards. Maybe 15 or 20.

Cheers, James
Post by Kip Koon
Hi James!
Post by Borut
I would also like one. One minor suggestion if i may.
Could you make pads for soldiering SD card socket a little bigger.
A good example is 6x0x board, where the pads are two strips one on each
side and it is easy
to use other manufacturer's sockets.
Post by Borut
Best regards,
Borut
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Mike Niswonger
2014-09-21 01:14:50 UTC
Permalink
James,

I'm looking for two of the boards and a friend of mine would
like one.

We're willing to pay up this round - you were generous on the
first boards...

Mike Niswonger
Post by James Moxham
Hi Borut,
Good thinking. Ok, I added some surface mount pads and then put these
right next to the SD pads so they are now twice as long. Ignore the
blue copper pour on the other side - this is so the autorouter doesn't
put an vias near the pads so it is easier to solder. Also I added the
names to the pins so if there are any problems it is easier to
describe. Also I moved the capacitor off to the side, so it is much
easier to get a soldering iron in.
Looks like might need more than 10 boards. Maybe 15 or 20.
Cheers, James
Hi James!
I would also like one. One minor suggestion if i may.
Could you make pads for soldiering SD card socket a little bigger.
A good example is 6x0x board, where the pads are two strips one on
each side and it is easy
to use other manufacturer's sockets.
Best regards,
Borut
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Ian May
2014-09-21 13:51:19 UTC
Permalink
Hi James,
Could you put me on your list for 2 of your new boards please?
Cheers,
James Moxham (Dr_Acula)
2014-10-16 09:50:24 UTC
Permalink
Hi everyone,
Boards have arrived and I soldered one up and it all works fine.
Quick writeup at http://www.smarthome.jigsy.com/fpga
I think this board is going to be a lot more flexible - double the ram, can
do a fast touchscreen, all the pins coming out to pads so can use spare
ones for I/O, a prototyping area, fpga in sockets, all the plugs along one
edge so fits better in a box.
Cheers, James Moxham
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Paul Birkel
2014-10-16 11:30:56 UTC
Permalink
Very nice! What is a "6 way stackable header" from your minimum-build
parts list?

On Thu, Oct 16, 2014 at 5:50 AM, James Moxham (Dr_Acula) <
Hi everyone,
Boards have arrived and I soldered one up and it all works fine.
Quick writeup at http://www.smarthome.jigsy.com/fpga
I think this board is going to be a lot more flexible - double the ram,
can do a fast touchscreen, all the pins coming out to pads so can use spare
ones for I/O, a prototyping area, fpga in sockets, all the plugs along one
edge so fits better in a box.
Cheers, James Moxham
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham
2014-10-16 13:12:26 UTC
Permalink
Post by Paul Birkel
Very nice! What is a "6 way stackable header" from your minimum-build
parts list?
Stackable headers are used in the Arduino world - build little towers of
boards. eg
http://www.ebay.com.au/itm/Stackable-Header-Kit-6Pin-8Pin-10Pin-2x3Pin-Tall-Pin-For-Arduino-R3-/221120094010?pt=LH_DefaultDomain_15&hash=item337bc8df3a

I used them because I have lots in the parts drawer and the pins are long.
You could probably hack it in other ways eg stacking a few standard sil
headers on top of each other. Or you can always use wires.

Cheers, James
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham (Dr_Acula)
2014-10-16 22:31:46 UTC
Permalink
Thanks for the quick orders! I'm still on the steep part of the learning
curve here - the shipping addresses are not coming through on paypal - any
chance of also sending me a quick email with your postal address - moxhamj
at internode.on.net (note it it is moxhamj with a j a the end, my dad got
the address without the j). I have payments from David, Martin, Charles and
Paul.
Cheers, James
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham (Dr_Acula)
2014-10-17 05:25:36 UTC
Permalink
Boards posted today to:
Mike Niswonger
Max Scane
Kip Koon
August Treubig
David Mehaffy.

Awaiting address details from :
Paul Birkel
Martin Lukasek
Steven McCarter

Cheers, James Moxham
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2014-10-17 16:48:25 UTC
Permalink
Hi James!

Thank you so much for designing the new boards! It will be so much fun to see MP/M have more memory for users and/or work spaces for programs! I’ve been wearing myself out exercising at the VA lately that I have not done much more with my Tiny Triple Threat Z80 Multicomp Microcomputer. I plan on setting up one of these new PCBs for the Z80 as well as the 6809 and I want to see what I can do with the 6800 CPU core as well. I have not gotten the 6800 version to run the Altair680 rom monitor code yet, so I may be missing some vital VHDL code somewhere.

Grant, I’d like to see your 6800 version of the Multicomp!

Thank you James, Max and Grant for all your hard work to make this project so easy to put together and run! That’s not to say I haven’t scratched my head a few times, but it has been a joy learning how to run a new technology to reproduce and see run again our beloved 8-bit microprocessors and all their software! Up to this point I’ve done most of my work on the Z80 version of the Multicomp running CP/M 2.2, CP/M 3.0 and MP/M II v2.1, but I am hopeful that someone will step up to get Flex9 and UniFlex running on the 6809 version of the Multicomp and I’m hoping to someday see OS-9 and NitrOS-9 Levels 1 and 2 running as well! If this happens, I will definitely be buying more PCBs! Thanks again to all!



Kip Koon

computerdoc-***@public.gmane.org

http://www.cocopedia.com/wiki/index.php/Kip_Koon

http://computerpcdoc.com/



From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of James Moxham (Dr_Acula)
Sent: Friday, October 17, 2014 1:26 AM
To: n8vem-/***@public.gmane.org
Subject: Re: [N8VEM: 18865] Re: Vintage + modern = fun



Boards posted today to:

Mike Niswonger

Max Scane

Kip Koon

August Treubig

David Mehaffy.



Awaiting address details from :

Paul Birkel

Martin Lukasek

Steven McCarter



Cheers, James Moxham
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Brian O'Sullivan
2014-10-18 23:29:43 UTC
Permalink
Hi all,

Just spent a few weekends playing with grant Searles FPGA multicomp but have been struggling to interface the external ram reliably. Was just about to fire up eagle and make a PCB when I stumbled across this thread.

If anyone has boards or another order is going to happen I would be interested in getting one.

Oshpark seems to be a convenient way of sharing boards too. I just finished hand soldering a grant Searle 7 chip z80 design on a prototyping board when I found someone had put boards for this design on oshpark. Still, I do like the hand built retro-ness of my build.

Brian.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham
2014-10-19 09:04:14 UTC
Permalink
Hi Brian,

This is version 10 of various boards we have built
http://www.smarthome.jigsy.com/fpga

Have ironed out most of the bugs by now. Cheers, James Moxham

On Sun, 19 Oct 2014 09:59:43 +1030, Brian O'Sullivan
Post by Brian O'Sullivan
Hi all,
Just spent a few weekends playing with grant Searles FPGA multicomp but
have been struggling to interface the external ram reliably. Was just
about to fire up eagle and make a PCB when I stumbled across this thread.
If anyone has boards or another order is going to happen I would be
interested in getting one.
Oshpark seems to be a convenient way of sharing boards too. I just
finished hand soldering a grant Searle 7 chip z80 design on a
prototyping board when I found someone had put boards for this design on
oshpark. Still, I do like the hand built retro-ness of my build.
Brian.
James Moxham
2014-10-19 09:08:36 UTC
Permalink
Post office closed over the weekend - will be posting first thing Monday
to:

Paul, Fabio, Martin, Ian (x2), Leon, Dylan, Steve, Michael.

Cheers, James
Brian O'Sullivan
2014-10-19 11:01:05 UTC
Permalink
Thanks for the link. Noticed a buy now button and made a payment. Usually a shipping address is confirmed during this process but didn't see one pop up - please advise if you need details.

Brian
James Moxham
2014-10-22 08:46:05 UTC
Permalink
Hi Brian,

Yes if you can send a shipping address here or via email thanks - paypal
default seems to leave it out.

Cheers, James
Borut
2014-10-19 12:33:45 UTC
Permalink
Hi James!

I have just paypaled you the payment.
Mailing address is still the same.

Best regards,

Borut

On Sunday, October 19, 2014 11:08:37 AM UTC+2, James Moxham (Dr_Acula)
Post by James Moxham
Post office closed over the weekend - will be posting first thing Monday
Paul, Fabio, Martin, Ian (x2), Leon, Dylan, Steve, Michael.
Cheers, James
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Cobb
2014-10-19 15:33:09 UTC
Permalink
Do you still have these boards

Sent from my Galaxy S3
Post by James Moxham
Hi Brian,
This is version 10 of various boards we have built
http://www.smarthome.jigsy.com/fpga
Have ironed out most of the bugs by now. Cheers, James Moxham
Hi all,
Post by Brian O'Sullivan
Just spent a few weekends playing with grant Searles FPGA multicomp but
have been struggling to interface the external ram reliably. Was just about
to fire up eagle and make a PCB when I stumbled across this thread.
If anyone has boards or another order is going to happen I would be
interested in getting one.
Oshpark seems to be a convenient way of sharing boards too. I just
finished hand soldering a grant Searle 7 chip z80 design on a prototyping
board when I found someone had put boards for this design on oshpark.
Still, I do like the hand built retro-ness of my build.
Brian.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham
2014-10-19 22:13:43 UTC
Permalink
Yes, I have 8 left.

Cheers, James
Post by James Cobb
Do you still have these boards
Sent from my Galaxy S3
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Cobb
2014-10-19 22:57:17 UTC
Permalink
Thanks
Money sent

James Cobb
445 Caracas Dr.
Merritt Island, Fl 32953
USA

Was looking at the build on Grant's page and ordered the FPGA on EBAY then
reading the post then realize you were talking about the multicomp.
Post by James Moxham
Yes, I have 8 left.
Cheers, James
Do you still have these boards
Sent from my Galaxy S3
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2014-10-20 00:45:56 UTC
Permalink
Hi James!

I finally have v1.08 operational of the Multicomp Interface PCB and I was
wondering how you are getting 5V to the 2.4" TFT LCD display or can it run
off 3V? I can't seem to find a 5V power supply readily available. The
nearest voltages I find are 4.5V or 6V. I'm currently using a 6V power
supply. I'd like to have a LM7805 on the PCB to run the LCD screen, but
there is no space. I'm not sure how to determine the inside and outside
diameters of DC power jacks to buy one off ebay. I don't remember the
parameters of the one I used. Are there any helps available along these
lines? Any ideas? Thanks in advance!



Kip Koon

computerdoc-***@public.gmane.org

http://www.cocopedia.com/wiki/index.php/Kip_Koon

http://computerpcdoc.com/
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham
2014-10-20 01:45:02 UTC
Permalink
Hi Kip,

The smaller touchscreen boards all seem to run on 3.3V so you should be ok
there. I found a few that were larger that used 5V so that is why the link
is there for either voltage.

The new board (which should be in the air by now) has a single DC power
socket for 5V. I've built a lot of boards with onboard regulators but more
recently I have found regulated 5V wall warts are so cheap it is easier to
use these. eg $2.58
http://www.ebay.com.au/itm/AC-100-240V-DC-5V-1A-Charger-Power-Supply-Switching-Adapter-5-5-x-2-1MM-US-EBAU-/261543639800?pt=AU_Gadgets&hash=item3ce5373af8

BTW the new board is going to need new software and new fpga code as the
aim is to dump out 16 bits at a time - I'll need to write that code soon!

Plus the code to use the second ram - Max might be able to give us a 1mb
ram disk.

I posted off all the orders that came in over the weekend.

Cheers, Jams
Post by Kip Koon
Hi James!
I finally have v1.08 operational of the Multicomp Interface PCB and I
was wondering how you are getting 5V to the 2.4” TFT LCD >display or can
it run off 3V? I can’t seem to find a 5V power supply readily
available. The nearest voltages I find are 4.5V or 6V. I’m >currently
using a 6V power supply. I’d like to have a LM7805 on the PCB to run
the LCD screen, but there is no space. I’m not sure >how to determine
the inside and outside diameters of DC power jacks to buy one off ebay.
I don’t remember the parameters of the >one I used. Are there any helps
available along these lines? Any ideas? Thanks in advance!
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2014-10-20 02:32:23 UTC
Permalink
Hi James!

Thank a bunch. I appreciate it. Thank really helps. I’ll download my BDS-C compiler again and compile MMU.C and BMPTEST.C and get me a picture! Then I’ll be ready for the new board! Whoo-Hoo!



Kip Koon

computerdoc-***@public.gmane.org

http://www.cocopedia.com/wiki/index.php/Kip_Koon

http://computerpcdoc.com/



From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of James Moxham
Sent: Sunday, October 19, 2014 9:45 PM
To: n8vem-/***@public.gmane.org
Subject: Re: [N8VEM: 18875] Re: Vintage + modern = fun



Hi Kip,



The smaller touchscreen boards all seem to run on 3.3V so you should be ok there. I found a few that were larger that used 5V so that is why the link is there for either voltage.



The new board (which should be in the air by now) has a single DC power socket for 5V. I've built a lot of boards with onboard regulators but more recently I have found regulated 5V wall warts are so cheap it is easier to use these. eg $2.58 http://www.ebay.com.au/itm/AC-100-240V-DC-5V-1A-Charger-Power-Supply-Switching-Adapter-5-5-x-2-1MM-US-EBAU-/261543639800?pt=AU_Gadgets <http://www.ebay.com.au/itm/AC-100-240V-DC-5V-1A-Charger-Power-Supply-Switching-Adapter-5-5-x-2-1MM-US-EBAU-/261543639800?pt=AU_Gadgets&hash=item3ce5373af8> &hash=item3ce5373af8



BTW the new board is going to need new software and new fpga code as the aim is to dump out 16 bits at a time - I'll need to write that code soon!



Plus the code to use the second ram - Max might be able to give us a 1mb ram disk.



I posted off all the orders that came in over the weekend.



Cheers, Jams





On Mon, 20 Oct 2014 11:15:56 +1030, Kip Koon <computerdoc-***@public.gmane.org> wrote:



Hi James!

I finally have v1.08 operational of the Multicomp Interface PCB and I was wondering how you are getting 5V to the 2.4” TFT LCD display or can it run off 3V? I can’t seem to find a 5V power supply readily available. The nearest voltages I find are 4.5V or 6V. I’m currently using a 6V power supply. I’d like to have a LM7805 on the PCB to run the LCD screen, but there is no space. I’m not sure how to determine the inside and outside diameters of DC power jacks to buy one off ebay. I don’t remember the parameters of the one I used. Are there any helps available along these lines? Any ideas? Thanks in advance!



Kip Koon

computerdoc-***@public.gmane.org

http://www.cocopedia.com/wiki/index.php/Kip_Koon

http://computerpcdoc.com/
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2014-10-20 02:51:44 UTC
Permalink
Hi James and All!

I now have the Multicomp Interface PCB v1.07 and v1.08 fully functional. Last night I tested MP/M II v2.1 on the v1.08 PCB and it booted with flying colors the first time! It was online at about 12:24 am EDT! Now I have two Multicomps capable of running MP/M!

I’m really looking forward to building the new PCBs. Thanks for getting mine in the mail so quickly. Let’s see how fast the cargo liners travel! Too bad you can’t just transport them Star Trek Style! :)

Now that I have two Multicomps functional, I’m going to start studying the VHDL and see about getting the 6809 to see more than 64KB of ram. If there any others interested in the 6809 Multicomp, I’d like to hear from you! I’d like to get the 6809 Multicomp as capable as the Z80 Multicomp!

Has anybody played with the 6800 Multicomp yet?

Grant, I’d like to see your version of the 6800 Multicomp?

Well, I’m going to get back to my new Multicomp and compile the BMPTEST program and see my first picture display on this thing! Take care my friends.



Kip Koon

computerdoc-***@public.gmane.org

http://www.cocopedia.com/wiki/index.php/Kip_Koon

http://computerpcdoc.com/



From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of James Moxham
Sent: Sunday, October 19, 2014 9:45 PM
To: n8vem-/***@public.gmane.org
Subject: Re: [N8VEM: 18875] Re: Vintage + modern = fun



Hi Kip,



The smaller touchscreen boards all seem to run on 3.3V so you should be ok there. I found a few that were larger that used 5V so that is why the link is there for either voltage.



The new board (which should be in the air by now) has a single DC power socket for 5V. I've built a lot of boards with onboard regulators but more recently I have found regulated 5V wall warts are so cheap it is easier to use these. eg $2.58 http://www.ebay.com.au/itm/AC-100-240V-DC-5V-1A-Charger-Power-Supply-Switching-Adapter-5-5-x-2-1MM-US-EBAU-/261543639800?pt=AU_Gadgets <http://www.ebay.com.au/itm/AC-100-240V-DC-5V-1A-Charger-Power-Supply-Switching-Adapter-5-5-x-2-1MM-US-EBAU-/261543639800?pt=AU_Gadgets&hash=item3ce5373af8> &hash=item3ce5373af8



BTW the new board is going to need new software and new fpga code as the aim is to dump out 16 bits at a time - I'll need to write that code soon!



Plus the code to use the second ram - Max might be able to give us a 1mb ram disk.



I posted off all the orders that came in over the weekend.



Cheers, Jams





On Mon, 20 Oct 2014 11:15:56 +1030, Kip Koon <computerdoc-***@public.gmane.org> wrote:



Hi James!

I finally have v1.08 operational of the Multicomp Interface PCB and I was wondering how you are getting 5V to the 2.4” TFT LCD display or can it run off 3V? I can’t seem to find a 5V power supply readily available. The nearest voltages I find are 4.5V or 6V. I’m currently using a 6V power supply. I’d like to have a LM7805 on the PCB to run the LCD screen, but there is no space. I’m not sure how to determine the inside and outside diameters of DC power jacks to buy one off ebay. I don’t remember the parameters of the one I used. Are there any helps available along these lines? Any ideas? Thanks in advance!



Kip Koon

computerdoc-***@public.gmane.org

http://www.cocopedia.com/wiki/index.php/Kip_Koon

http://computerpcdoc.com/
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Dylan Distasio
2014-10-28 21:01:35 UTC
Permalink
Hi James and all-

I realize that the parts list for this board is relatively straightforward
but I was wondering if anyone had recommended part #s for any of the big
online US places like Mouser, Digikey, Jameco, etc. I don't need them for
things like the resistors but wanted to make sure there was nothing tricky
to watch out for when ordering the other components.

Thanks,
Dylan
Post by James Moxham
Hi Kip,
The smaller touchscreen boards all seem to run on 3.3V so you should be ok
there. I found a few that were larger that used 5V so that is why the link
is there for either voltage.
The new board (which should be in the air by now) has a single DC power
socket for 5V. I've built a lot of boards with onboard regulators but more
recently I have found regulated 5V wall warts are so cheap it is easier to
use these. eg $2.58
http://www.ebay.com.au/itm/AC-100-240V-DC-5V-1A-Charger-Power-Supply-Switching-Adapter-5-5-x-2-1MM-US-EBAU-/261543639800?pt=AU_Gadgets&hash=item3ce5373af8
BTW the new board is going to need new software and new fpga code as the
aim is to dump out 16 bits at a time - I'll need to write that code soon!
Plus the code to use the second ram - Max might be able to give us a 1mb
ram disk.
I posted off all the orders that came in over the weekend.
Cheers, Jams
Hi James!
I finally have v1.08 operational of the Multicomp Interface PCB and I was
wondering how you are getting 5V to the 2.4” TFT LCD display or can it run
off 3V? I can’t seem to find a 5V power supply readily available. The
nearest voltages I find are 4.5V or 6V. I’m currently using a 6V power
supply. I’d like to have a LM7805 on the PCB to run the LCD screen, but
there is no space. I’m not sure how to determine the inside and outside
diameters of DC power jacks to buy one off ebay. I don’t remember the
parameters of the one I used. Are there any helps available along these
lines? Any ideas? Thanks in advance!
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2014-09-20 22:26:45 UTC
Permalink
Hi James and Max!
That board sounds awesome! I'd like to have at least 1. I've had so much
fun with the Multicomp, if it were possible to have 4 - one for each
microprocessor IP core in the components folder - I would ask for 4, but I
don't want to take too much advantage of your good nature and generosity.
One the other hand though, how much would four cost? Thank you both for a
job well done on your PCBs which are much appreciated!

Kip Koon
computerdoc-***@public.gmane.org
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/

-----Original Message-----
From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of
James Moxham
Sent: Saturday, September 20, 2014 8:21 AM
To: n8vem-/***@public.gmane.org
Subject: Re: [N8VEM: 18705] Re: Vintage + modern = fun

Max Scan and I have been working on a new board design. It is essentially
Grant's board, but we started with the design criteria that the critical
connectors all needed to be on one side of the board so it could fit more
easily in a box.

This made the board a bit bigger, so there are a few additions, such as a
second ram chip (for 1mb of memory), and the touchscreen should run faster
as it will use a 16 bit data bus. We flipped the board upside down - the
idea is to use the tall stackable headers that are now easily available
thanks to the Arduino world, and so you can still connect the programming
cable.

It didn't quite fit in a 10x15cm board, so the TV is on the other edge as
that is probably the least likely connection one would use. We added a USB
socket - it is a bit of a fake as it isn't real USB but basically there are
keyboards with USB plugs that talk PS2. Also there were quite a few input
only pins that Grant didn't use - these are brought out to a header.
There are also headers for unused pins - eg if you don't use the touchscreen
there are now more general purpose I/O pins available.

We think it might be possible to add a cut down 2 pin tall stackable header
and connect directly to the 5V on P8 on the fpga board (getting the 5V is a
bit of a challenge - with all those pins on the board you would have thought
one would be 5V!) So the fpga board will plug in, and then if we change the
design down the track it is possible to reuse the fpga board.

1mb of ram should be interesting - in theory it should allow 4 MP/M users,
and a decent sized ram disk at the same time. One MP/M user could be on the
VGA, one on the touchscreen and two on the serial ports.

There is a prototyping area. I thought a lot about all the other things you
could fit there, but I think a prototyping area is the most flexible.

5V input is along the board edge rather than via the fpga board.

There are headers for diagnostic leds so these can come out to a front panel
as well as a reset switch.

The SD card has a sil header next to it, so you can add other SD modules
(there are micro SD modules on ebay for only $2, but every one has a
different pinout so it is easier to just bring things to a header and use
pluggable female wires).

We are very close to getting this made so the question is - do we get 5 or
10 or 15?

Cheers, James Moxham

--
You received this message because you are subscribed to the Google Groups
"N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Edward Snider
2014-09-21 03:36:36 UTC
Permalink
Sounds interesting. I'd like a board as well James.

Thanks,
Elsid
2014-09-21 10:28:00 UTC
Permalink
One for me too please James.
I managed to get CP/M going on your first board.

Leon Byles


On Saturday, September 20, 2014 10:21:41 PM UTC+10, James Moxham (Dr_Acula)
Post by James Moxham
Max Scan and I have been working on a new board design. It is essentially
Grant's board, but we started with the design criteria that the critical
connectors all needed to be on one side of the board so it could fit more
easily in a box.
This made the board a bit bigger, so there are a few additions, such as a
second ram chip (for 1mb of memory), and the touchscreen should run faster
as it will use a 16 bit data bus. We flipped the board upside down - the
idea is to use the tall stackable headers that are now easily available
thanks to the Arduino world, and so you can still connect the programming
cable.
It didn't quite fit in a 10x15cm board, so the TV is on the other edge as
that is probably the least likely connection one would use. We added a USB
socket - it is a bit of a fake as it isn't real USB but basically there
are keyboards with USB plugs that talk PS2. Also there were quite a few
input only pins that Grant didn't use - these are brought out to a header.
There are also headers for unused pins - eg if you don't use the
touchscreen there are now more general purpose I/O pins available.
We think it might be possible to add a cut down 2 pin tall stackable
header and connect directly to the 5V on P8 on the fpga board (getting the
5V is a bit of a challenge - with all those pins on the board you would
have thought one would be 5V!) So the fpga board will plug in, and then if
we change the design down the track it is possible to reuse the fpga board.
1mb of ram should be interesting - in theory it should allow 4 MP/M users,
and a decent sized ram disk at the same time. One MP/M user could be on
the VGA, one on the touchscreen and two on the serial ports.
There is a prototyping area. I thought a lot about all the other things
you could fit there, but I think a prototyping area is the most flexible.
5V input is along the board edge rather than via the fpga board.
There are headers for diagnostic leds so these can come out to a front
panel as well as a reset switch.
The SD card has a sil header next to it, so you can add other SD modules
(there are micro SD modules on ebay for only $2, but every one has a
different pinout so it is easier to just bring things to a header and use
pluggable female wires).
We are very close to getting this made so the question is - do we get 5 or
10 or 15?
Cheers, James Moxham
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Jim Harre
2014-12-03 23:54:50 UTC
Permalink
Finally, I assembled all the parts to put one of these together! The board
from Dr. Acula and the FPGA board from China arrived the same day. However,
due to a parts mixup a few days were needed to get the 28 position female
headers from Digi-Key. During that time, it finally dawned on me that an
Altera USB Blaster was needed to program the board. Oops! Another quick
purchase from China was needed and surprisingly only took 8 days to arrive
here in New Mexico.

Following along through Grant's site was pretty painless, and soon I was
rewarded with a sign-on message on my VGA display. Yahoo! It works!

However when I powered it up this morning, no nothing. The power LED was
lit and the three LEDs for Pins 3,7,& 9 were flashing in unison just like
the first time I powered it up. Reloading Quartus II, I used the
programming tool to re-upload the compiled code to the board. Again, it
worked! Removing the power again put the FPGA back in the "unprogrammed"
state.

Is this normal? Did I miss or skip a step? I thought once it was programmed
it would remain until erased or reprogrammed. I hopefully plan to move on
to the CP/M mods tomorrow.

<*> Jim
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Max Scane
2014-12-04 00:09:23 UTC
Permalink
Hi Jim,

There are two 10 pin connectors on the FPGA board. One allows you to
program the FPGA and the other allows you to program the eeprom on the
board.

The FPGA's config is no permanent and is loaded form the eeprom at power up
so you need to program your configuration into the eeprom rather than the
FPGA.

If it has gone back to the original configuration after a power cycle it
would sound like you have uploaded your config to the FPGA itself.

I hope that makes sense.

Cheers!

Max
Post by Jim Harre
Finally, I assembled all the parts to put one of these together! The board
from Dr. Acula and the FPGA board from China arrived the same day. However,
due to a parts mixup a few days were needed to get the 28 position female
headers from Digi-Key. During that time, it finally dawned on me that an
Altera USB Blaster was needed to program the board. Oops! Another quick
purchase from China was needed and surprisingly only took 8 days to arrive
here in New Mexico.
Following along through Grant's site was pretty painless, and soon I was
rewarded with a sign-on message on my VGA display. Yahoo! It works!
However when I powered it up this morning, no nothing. The power LED was
lit and the three LEDs for Pins 3,7,& 9 were flashing in unison just like
the first time I powered it up. Reloading Quartus II, I used the
programming tool to re-upload the compiled code to the board. Again, it
worked! Removing the power again put the FPGA back in the "unprogrammed"
state.
Is this normal? Did I miss or skip a step? I thought once it was
programmed it would remain until erased or reprogrammed. I hopefully plan
to move on to the CP/M mods tomorrow.
<*> Jim
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham
2014-12-04 13:31:37 UTC
Permalink
Yes, what Max says.

Lots of jargon that Altera kind of expect you to know.

Simple explanation - JTAG is ram, so volatile. Active Serial is eeprom so
permanent.

Active Serial takes a little longer, but I prefer my CP/M computer to
remember it is a CP/M computer!

Great to hear another board is (almost) there!

Cheers, James
Post by Max Scane
Hi Jim,
There are two 10 pin connectors on the FPGA board. One allows you to
program the FPGA and the other allows you to program the >eeprom on the
board.
The FPGA's config is no permanent and is loaded form the eeprom at power
up so you need to program your configuration into the >eeprom rather
than the FPGA.
If it has gone back to the original configuration after a power cycle it
would sound like you have uploaded your config to the FPGA >itself.
I hope that makes sense.
Cheers!
Max
Post by Jim Harre
Finally, I assembled all the parts to put one of these together! The
board from Dr. Acula and the FPGA board from China arrived the >>same
day. However, due to a parts mixup a few days were needed to get the 28
position female headers from Digi-Key. During that >>time, it finally
dawned on me that an Altera USB Blaster was needed to program the
board. Oops! Another quick purchase from China >>was needed and
surprisingly only took 8 days to arrive here in New Mexico.
Following along through Grant's site was pretty painless, and soon I
was rewarded with a sign-on message on my VGA display. >>Yahoo! It
works!
However when I powered it up this morning, no nothing. The power LED
was lit and the three LEDs for Pins 3,7,& 9 were flashing in >>unison
just like the first time I powered it up. Reloading Quartus II, I used
the programming tool to re-upload the compiled code to the >>board.
Again, it worked! Removing the power again put the FPGA back in the
"unprogrammed" state.
Is this normal? Did I miss or skip a step? I thought once it was
programmed it would remain until erased or reprogrammed. I hopefully
Post by Kip Koon
plan to move on to the CP/M mods tomorrow.
<*> Jim
--You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Jim Harre
2014-12-04 22:44:31 UTC
Permalink
SUCCESS!

It took me a while. Eventually, I started over from scratch because some of
it wasn't making sense.

Then I was able to program the eeprom successfully, but the board wouldn't
wake up. I figured out that after you program it, you have to remove the
USB Blaster from the board (or at least remove the ribbon cable from the
blaster). Now I know that if the LED for Pin 3 isn't lit, the cable is
still attached.

One other oddity: on my U.S. style keyboard, the double quote character is
the shifted key next to the Enter key (two keys to the right of 'L'). When
I hit that, it outputs an '@' and a newline. Ugh! Found the double quote
above the '2' key like my old TRS-80, but would like to remap it. In
/Multicomp/Components/TERMINAL/SBCTextDisplayRGB.vhd there appears to be
the keyboard maps for shifted and unshifted. I can't quite figure out how
he is designating the keys - has anybody else played with this?

OK, off to go through the CP/M add-on project. Thanks for the help
everybody.

<*> Jim
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Max Scane
2014-12-04 22:51:12 UTC
Permalink
Great work!!

The keyboard mapping is setup for a UK keyboard I believe.

I did make some changes to mine to correct a couple of keys but I don't
have the details handy. I think you just need to find the scan code for
the key and then change its mapping.

Cheers!

Max
Post by Jim Harre
SUCCESS!
It took me a while. Eventually, I started over from scratch because some
of it wasn't making sense.
Then I was able to program the eeprom successfully, but the board wouldn't
wake up. I figured out that after you program it, you have to remove the
USB Blaster from the board (or at least remove the ribbon cable from the
blaster). Now I know that if the LED for Pin 3 isn't lit, the cable is
still attached.
One other oddity: on my U.S. style keyboard, the double quote character is
the shifted key next to the Enter key (two keys to the right of 'L'). When
above the '2' key like my old TRS-80, but would like to remap it. In
/Multicomp/Components/TERMINAL/SBCTextDisplayRGB.vhd there appears to be
the keyboard maps for shifted and unshifted. I can't quite figure out how
he is designating the keys - has anybody else played with this?
OK, off to go through the CP/M add-on project. Thanks for the help
everybody.
<*> Jim
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham (Dr_Acula)
2014-12-05 11:51:36 UTC
Permalink
Re the keyboard mapping, it is buried away in SBCTextDisplayRGP.vhd, and
this is the bit to change. I'm not sure if all the keys have been changed
yet, this could be, as they say, an exercise for the reader...

Cheers, James


-- US KEYBOARD MAPPING - not fully changed from UK, still need to change |
~ ` \

--Original 8-bit HEX values
-- constant kbUnshifted : kbDataArray :=
-- (
-- --0 1 2 3 4 5 6 7 8 9 A B C D E F
--
x"00",x"19",x"00",x"00",x"13",x"11",x"12",x"1C",x"00",x"1A",x"18",x"16",x"00",x"09",x"60",x"00",
-- 0
--
x"00",x"00",x"00",x"00",x"00",x"71",x"31",x"00",x"00",x"00",x"7A",x"73",x"61",x"77",x"32",x"00",
-- 1
--
x"00",x"63",x"78",x"64",x"65",x"34",x"33",x"00",x"00",x"20",x"76",x"66",x"74",x"72",x"35",x"00",
-- 2
--
x"00",x"6E",x"62",x"68",x"67",x"79",x"36",x"00",x"00",x"00",x"6D",x"6A",x"75",x"37",x"38",x"00",
-- 3
--
x"00",x"2C",x"6B",x"69",x"6F",x"30",x"39",x"00",x"00",x"2E",x"2F",x"6C",x"3B",x"70",x"2D",x"00",
-- 4
--
x"00",x"00",x"27",x"00",x"5B",x"3D",x"00",x"00",x"00",x"00",x"0D",x"5D",x"00",x"00",x"00",x"00",
-- 5
--
x"00",x"00",x"00",x"00",x"00",x"00",x"08",x"00",x"00",x"31",x"00",x"34",x"37",x"00",x"00",x"00",
-- 6
--
x"30",x"2E",x"32",x"35",x"36",x"38",x"03",x"00",x"1B",x"2B",x"33",x"2D",x"2A",x"39",x"00",x"00",
-- 7
-- x"00",x"00",x"00",x"17"
-- );
-- constant kbShifted : kbDataArray :=
-- (
-- --0 1 2 3 4 5 6 7 8 9 A B C D E F
--
x"00",x"19",x"00",x"00",x"13",x"11",x"12",x"1C",x"00",x"1A",x"18",x"16",x"00",x"09",x"00",x"00",
-- 0
--
x"00",x"00",x"00",x"00",x"00",x"51",x"21",x"00",x"00",x"00",x"5A",x"53",x"41",x"57",x"40",x"00",
-- 1
--
x"00",x"43",x"58",x"44",x"45",x"24",x"23",x"00",x"00",x"20",x"56",x"46",x"54",x"52",x"25",x"00",
-- 2
--
x"00",x"4E",x"42",x"48",x"47",x"59",x"5E",x"00",x"00",x"00",x"4D",x"4A",x"55",x"26",x"2A",x"00",
-- 3
--
x"00",x"3C",x"4B",x"49",x"4F",x"29",x"28",x"00",x"00",x"3E",x"3F",x"4C",x"3A",x"50",x"5F",x"00",
-- 4
--
x"00",x"00",x"22",x"00",x"7B",x"2B",x"00",x"00",x"00",x"00",x"0D",x"7D",x"00",x"00",x"00",x"00",
-- 5
--
x"00",x"00",x"00",x"00",x"00",x"00",x"08",x"00",x"00",x"31",x"00",x"34",x"37",x"00",x"00",x"00",
-- 6
--
x"30",x"2E",x"32",x"35",x"36",x"38",x"0C",x"00",x"1B",x"2B",x"33",x"2D",x"2A",x"39",x"00",x"00",
-- 7
-- x"00",x"00",x"00",x"17"
-- );

-- 7 bits to reduce logic count
constant kbUnshifted : kbDataArray :=
(
-- 0 1 2 3 4 5 6 7 8 9 A B C D E F
"0000000","0011001","0000000","0000000","0010011","0010001","0010010","0011100","0000000","0011010","0011000","0010110","0000000","0001001","1100000","0000000",
-- 0
"0000000","0000000","0000000","0000000","0000000","1110001","0110001","0000000","0000000","0000000","1111010","1110011","1100001","1110111","0110010","0000000",
-- 1
"0000000","1100011","1111000","1100100","1100101","0110100","0110011","0000000","0000000","0100000","1110110","1100110","1110100","1110010","0110101","0000000",
-- 2
"0000000","1101110","1100010","1101000","1100111","1111001","0110110","0000000","0000000","0000000","1101101","1101010","1110101","0110111","0111000","0000000",
-- 3
"0000000","0101100","1101011","1101001","1101111","0110000","0111001","0000000","0000000","0101110","0101111","1101100","0111011","1110000","0101101","0000000",
-- 4
"0000000","0000000","0100111","0000000","1011011","0111101","0000000","0000000","0000000","0000000","0001101","1011101","0000000","0000000","0000000","0000000",
-- 5
"0000000","0000000","0000000","0000000","0000000","0000000","0001000","0000000","0000000","0110001","0000000","0110100","0110111","0000000","0000000","0000000",
-- 6
"0110000","0101110","0110010","0110101","0110110","0111000","0000011","0000000","0011011","0101011","0110011","0101101","0101010","0111001","0000000","0000000",
-- 7
"0000000","0000000","0000000","0010111"
);
constant kbShifted : kbDataArray :=
(
-- 0 1 2 3 4 5 6 7 8 9 A B C D E F
"0000000","0011001","0000000","0000000","0010011","0010001","0010010","0011100","0000000","0011010","0011000","0010110","0000000","0001001","0000000","0000000",
-- 0
"0000000","0000000","0000000","0000000","0000000","1010001","0100001","0000000","0000000","0000000","1011010","1010011","1000001","1010111","1000000","0000000",
-- 1
"0000000","1000011","1011000","1000100","1000101","0100100","0100011","0000000","0000000","0100000","1010110","1000110","1010100","1010010","0100101","0000000",
-- 2
"0000000","1001110","1000010","1001000","1000111","1011001","1011110","0000000","0000000","0000000","1001101","1001010","1010101","0100110","0101010","0000000",
-- 3
"0000000","0111100","1001011","1001001","1001111","0101001","0101000","0000000","0000000","0111110","0111111","1001100","0111010","1010000","1011111","0000000",
-- 4
"0000000","0000000","0100010","0000000","1111011","0101011","0000000","0000000","0000000","0000000","0001101","1111101","0000000","0000000","0000000","0000000",
-- 5
"0000000","0000000","0000000","0000000","0000000","0000000","0001000","0000000","0000000","0110001","0000000","0110100","0110111","0000000","0000000","0000000",
-- 6
"0110000","0101110","0110010","0110101","0110110","0111000","0001100","0000000","0011011","0101011","0110011","0101101","0101010","0111001","0000000","0000000",
-- 7
"0000000","0000000","0000000","0010111"
);
Post by Max Scane
Great work!!
The keyboard mapping is setup for a UK keyboard I believe.
I did make some changes to mine to correct a couple of keys but I don't
have the details handy. I think you just need to find the scan code for
the key and then change its mapping.
Cheers!
Max
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2014-12-04 03:31:15 UTC
Permalink
Hi Jim!

Congratulations on getting your Multicomp operational!

I agree with Max. Try the AS 10 pin connector on the FPGA PCB to program the eeprom so the FPGA chip will be able to run properly on power up. It is the outermost connector. The JTAG connector is for temporarily programming the FPGA chip itself while development is taking place although I know some people that always use the AS connector all the time no matter what. When you program the FPGA PCB with the AS connector, simply put it will never forget. I hope this helps.



Kip Koon

***@sc.rr.com

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of Jim Harre
Sent: Wednesday, December 03, 2014 6:55 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19006] Re: Vintage + modern = fun



Finally, I assembled all the parts to put one of these together! The board from Dr. Acula and the FPGA board from China arrived the same day. However, due to a parts mixup a few days were needed to get the 28 position female headers from Digi-Key. During that time, it finally dawned on me that an Altera USB Blaster was needed to program the board. Oops! Another quick purchase from China was needed and surprisingly only took 8 days to arrive here in New Mexico.



Following along through Grant's site was pretty painless, and soon I was rewarded with a sign-on message on my VGA display. Yahoo! It works!



However when I powered it up this morning, no nothing. The power LED was lit and the three LEDs for Pins 3,7,& 9 were flashing in unison just like the first time I powered it up. Reloading Quartus II, I used the programming tool to re-upload the compiled code to the board. Again, it worked! Removing the power again put the FPGA back in the "unprogrammed" state.



Is this normal? Did I miss or skip a step? I thought once it was programmed it would remain until erased or reprogrammed. I hopefully plan to move on to the CP/M mods tomorrow.



<*> Jim
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Jim Harre
2014-12-04 04:18:06 UTC
Permalink
Thanks for the quick responses, Max and Kip.

You are correct, I did use the JTAG port to program it. Should have known
there was logical - and large - difference between the ports. James does
mention using the AS port on his page, but when I was getting everything
set up the program couldn't see the Cyclone II using the AS port, but could
using JTAG. Its probably something silly I did while bumbling through the
initial setup. I'll try again tomorrow using the correct port.

<*> Jim
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Max Scane
2014-12-04 04:28:15 UTC
Permalink
From memory when you start the programmer in Quartus II it defaults to JTAG.

If you are using the AS socket, you need to change the mode to Active
Serial Programming and then set the device to EPCS4. I think there a
couple of tick-boxes like program & verify you need to set also.

Let me know if you are still having trouble and I'll take some screen shots
for you.

Max
Post by Jim Harre
Thanks for the quick responses, Max and Kip.
You are correct, I did use the JTAG port to program it. Should have known
there was logical - and large - difference between the ports. James does
mention using the AS port on his page, but when I was getting everything
set up the program couldn't see the Cyclone II using the AS port, but could
using JTAG. Its probably something silly I did while bumbling through the
initial setup. I'll try again tomorrow using the correct port.
<*> Jim
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2014-12-04 04:32:06 UTC
Permalink
Hi Jim!

When you go to the Programming screen in Quartus II, you must change to a different option before running the programming sequence. You must choose Active Serial Programming instead of JTAG , hence the AS. Also check the first three boxes in the window below for Program/Configure, Verify and Blank Check. Then you will be able to program the FPGA’s EEPROM chip using the AS port. Skype me if you need some help. I’ll send you my id by private email.



Kip Koon

***@sc.rr.com

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of Jim Harre
Sent: Wednesday, December 03, 2014 11:18 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19009] Re: Vintage + modern = fun



Thanks for the quick responses, Max and Kip.

You are correct, I did use the JTAG port to program it. Should have known there was logical - and large - difference between the ports. James does mention using the AS port on his page, but when I was getting everything set up the program couldn't see the Cyclone II using the AS port, but could using JTAG. Its probably something silly I did while bumbling through the initial setup. I'll try again tomorrow using the correct port.

<*> Jim
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham
2015-04-07 23:17:21 UTC
Permalink
A little while back Max Scane and I pushed the multicomp to the limit by
adding in MP/M and banked memory. However, this only just compiled and
there were a few tweaks to the original multicomp code in order to get it
to fit.

Since then, prices for FPGA boards keep coming down and the cyclone IV
family are now hitting the sweet spot for price/performance eg
http://www.ebay.com.au/itm/Cyclone-IV-FPGA-Board-EP4CE6E22C8N-EP4CE6-Development-kit-CPLD-ALTERA-PLD-NiosII-/281188502846?pt=LH_DefaultDomain_0&hash=item4178240d3e

Still not quite enough internal memory to avoid having a ram chip, but
there ought to be enough to do banked memory and still be able to add
other useful things (I'd like some counter/timers, and one always needs a
few spare pins, and new house, and a yacht...)

One big plus about this board is that it has the pins facing downwards
rather than up, so it can be mounted on a carrier board the correct way up
and then the leds etc are visible.

Worth porting the multicomp over to the cyclone IV family?

Thoughts would be most appreciated.

Cheers, James Moxham
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Max Scane
2015-04-08 00:23:46 UTC
Permalink
Yes, with the current fpga (EP2C5T144) once you add the second serial port,
the chip is pretty much full, I'd like to add a Compact flash interface as
well as perhaps a USB FIFO.

You can experiment in Quartus by changing the target chip and
re-compiling. This will give you an idea of how much capacity a specific
chip will have.


That looks like a nice module. The pins are in the right direction for a
mother board.

Cheers!

Max
Post by James Moxham
A little while back Max Scane and I pushed the multicomp to the limit by
adding in MP/M and banked memory. However, this only just compiled and
there were a few tweaks to the original multicomp code in order to get it
to fit.
Since then, prices for FPGA boards keep coming down and the cyclone IV
family are now hitting the sweet spot for price/performance eg
http://www.ebay.com.au/itm/Cyclone-IV-FPGA-Board-EP4CE6E22C8N-EP4CE6-
Development-kit-CPLD-ALTERA-PLD-NiosII-/281188502846?pt=
LH_DefaultDomain_0&hash=item4178240d3e
Still not quite enough internal memory to avoid having a ram chip, but
there ought to be enough to do banked memory and still be able to add other
useful things (I'd like some counter/timers, and one always needs a few
spare pins, and new house, and a yacht...)
One big plus about this board is that it has the pins facing downwards
rather than up, so it can be mounted on a carrier board the correct way up
and then the leds etc are visible.
Worth porting the multicomp over to the cyclone IV family?
Thoughts would be most appreciated.
Cheers, James Moxham
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Max Scane
2015-04-08 10:39:55 UTC
Permalink
I Just did some experimenting with Quartus:

This is the compilation results for a fully spec'd Multicomp with 2 serial
ports, VGA, MMU, Interrupts and SD card.

Cyclone II EP2C5T144 (Current Multicomp)

Total logic elements 4,593 / 4,608 ( 100 % )


Cyclone IV EP4CE6E22C8

Total logic elements 4,893 / 6,272 ( 78 % )


I looks like there should be more space for some additional functions.

Cheers!

Max
Post by James Moxham
A little while back Max Scane and I pushed the multicomp to the limit by
adding in MP/M and banked memory. However, this only just compiled and
there were a few tweaks to the original multicomp code in order to get it
to fit.
Since then, prices for FPGA boards keep coming down and the cyclone IV
family are now hitting the sweet spot for price/performance eg
http://www.ebay.com.au/itm/Cyclone-IV-FPGA-Board-EP4CE6E22C8N-EP4CE6-
Development-kit-CPLD-ALTERA-PLD-NiosII-/281188502846?pt=
LH_DefaultDomain_0&hash=item4178240d3e
Still not quite enough internal memory to avoid having a ram chip, but
there ought to be enough to do banked memory and still be able to add other
useful things (I'd like some counter/timers, and one always needs a few
spare pins, and new house, and a yacht...)
One big plus about this board is that it has the pins facing downwards
rather than up, so it can be mounted on a carrier board the correct way up
and then the leds etc are visible.
Worth porting the multicomp over to the cyclone IV family?
Thoughts would be most appreciated.
Cheers, James Moxham
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham
2015-04-08 11:46:18 UTC
Permalink
Looks very encouraging.

I've just created a library part in Eagle for the board. Having to guess
the dimensions from photographs but the pins are all on a 0.1" grid and I
think I have them all correct.

I ordered one of the cyclone IV boards just now.

I think I'll start with just the standard multicomp - two serial ports,
vga, tv, sd card and one 512k ram chip. Has some spare pins, plus there
are a quite a few leds on that board too.

Minor issue with that cyclone IV board - I don't think they bring out 3V3
so might have to patch something there, or maybe add a regulator on my
board. There isn't a schematic so will have to go back to the original
datasheet. One of the gotcha's on the last design when assigning pins is
to check pins are inputs and outputs - some are just inputs.

There are some other cyclone IV boards on ebay, but they only have one
programming port, the jtag, and I think we need both jtag and AS, like on
the cyclone II board

Cheers, James
Post by Max Scane
This is the compilation results for a fully spec'd Multicomp with 2
serial ports, VGA, MMU, Interrupts and SD card.
Cyclone II EP2C5T144 (Current Multicomp)
Total logic elements 4,593 / 4,608 ( 100 % )
Cyclone IV EP4CE6E22C8
Total logic elements 4,893 / 6,272 ( 78 % )
I looks like there should be more space for some additional functions.
Cheers!
Max
Post by James Moxham
A little while back Max Scane and I pushed the multicomp to the limit
by adding in MP/M and banked memory. However, this only just compiled
and there were a few tweaks to the original multicomp code in order
to get it to fit.
Since then, prices for FPGA boards keep coming down and the cyclone IV
family are now hitting the sweet spot for price/performance eg
http://>>www.ebay.com.au/itm/Cyclone-IV-FPGA-Board-EP4CE6E22C8N-EP4CE6-Development-kit-CPLD-ALTERA-PLD-NiosII-/281188502846?>>pt=LH_DefaultDomain_0&hash=item4178240d3e
Still not quite enough internal memory to avoid having a ram chip, but
there ought to be enough to do banked memory and still be able to add
other >>useful things (I'd like some counter/timers, and one always
needs a few spare pins, and new house, and a yacht...)
One big plus about this board is that it has the pins facing downwards
rather than up, so it can be mounted on a carrier board the correct way
up >>and then the leds etc are visible.
Worth porting the multicomp over to the cyclone IV family?
Thoughts would be most appreciated.
Cheers, James Moxham
--You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
Using Opera's mail client: http://www.opera.com/mail/
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Max Scane
2015-04-08 22:25:44 UTC
Permalink
Having an AS port on the card makes it easier to program the configuration
chip. However, from what i have read, it is still possible to program it
using the JTAG port.

It is a two step process. A small bit od VHDL is loaded into the FPGA to
get access to the EEPROM and then the bit stream is written using the
VHDL. This is done using Quartus.

Cheers!

Max
Post by James Moxham
Looks very encouraging.
I've just created a library part in Eagle for the board. Having to guess
the dimensions from photographs but the pins are all on a 0.1" grid and I
think I have them all correct.
I ordered one of the cyclone IV boards just now.
I think I'll start with just the standard multicomp - two serial ports,
vga, tv, sd card and one 512k ram chip. Has some spare pins, plus there are
a quite a few leds on that board too.
Minor issue with that cyclone IV board - I don't think they bring out 3V3
so might have to patch something there, or maybe add a regulator on my
board. There isn't a schematic so will have to go back to the original
datasheet. One of the gotcha's on the last design when assigning pins is to
check pins are inputs and outputs - some are just inputs.
There are some other cyclone IV boards on ebay, but they only have one
programming port, the jtag, and I think we need both jtag and AS, like on
the cyclone II board
Cheers, James
This is the compilation results for a fully spec'd Multicomp with 2 serial
ports, VGA, MMU, Interrupts and SD card.
Cyclone II EP2C5T144 (Current Multicomp)
Total logic elements 4,593 / 4,608 ( 100 % )
Cyclone IV EP4CE6E22C8
Total logic elements 4,893 / 6,272 ( 78 % )
I looks like there should be more space for some additional functions.
Cheers!
Max
Post by James Moxham
A little while back Max Scane and I pushed the multicomp to the limit by
adding in MP/M and banked memory. However, this only just compiled and
there were a few tweaks to the original multicomp code in order to get it
to fit.
Since then, prices for FPGA boards keep coming down and the cyclone IV
family are now hitting the sweet spot for price/performance eg
http://www.ebay.com.au/itm/Cyclone-IV-FPGA-Board-EP4CE6E22C8N-EP4CE6-Development-kit-CPLD-ALTERA-PLD-NiosII-/281188502846?pt=LH_DefaultDomain_0&hash=item4178240d3e
Still not quite enough internal memory to avoid having a ram chip, but
there ought to be enough to do banked memory and still be able to add other
useful things (I'd like some counter/timers, and one always needs a few
spare pins, and new house, and a yacht...)
One big plus about this board is that it has the pins facing downwards
rather than up, so it can be mounted on a carrier board the correct way up
and then the leds etc are visible.
Worth porting the multicomp over to the cyclone IV family?
Thoughts would be most appreciated.
Cheers, James Moxham
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
Using Opera's mail client: http://www.opera.com/mail/
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham
2015-04-08 13:38:45 UTC
Permalink
Very preliminary board idea for a Cyclone IV multicomp. Had to trim a few
things as I'd like to get it on 10x10cm as this size is much cheaper to
make. Quite a number of spare pins. RS232 ports have full hardware
handshaking. Otherwise tried to keep it as close to Grant's design as
possible.

Cheers, James
Post by Max Scane
This is the compilation results for a fully spec'd Multicomp with 2
serial ports, VGA, MMU, Interrupts and SD card.
Cyclone II EP2C5T144 (Current Multicomp)
Total logic elements 4,593 / 4,608 ( 100 % )
Cyclone IV EP4CE6E22C8
Total logic elements 4,893 / 6,272 ( 78 % )
I looks like there should be more space for some additional functions.
Cheers!
Max
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2015-04-08 14:49:58 UTC
Permalink
Hi James,

It’s looking Good! I’ll be glad to help with testing.



Kip Koon

***@sc.rr.com

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of James Moxham
Sent: Wednesday, April 08, 2015 9:39 AM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19460] Re: Vintage + modern = fun



Very preliminary board idea for a Cyclone IV multicomp. Had to trim a few things as I'd like to get it on 10x10cm as this size is much cheaper to make. Quite a number of spare pins. RS232 ports have full hardware handshaking. Otherwise tried to keep it as close to Grant's design as possible.



Cheers, James





On Wed, 08 Apr 2015 20:09:55 +0930, Max Scane <***@gmail.com> wrote:



I Just did some experimenting with Quartus:



This is the compilation results for a fully spec'd Multicomp with 2 serial ports, VGA, MMU, Interrupts and SD card.



Cyclone II EP2C5T144 (Current Multicomp)



Total logic elements 4,593 / 4,608 ( 100 % )





Cyclone IV EP4CE6E22C8



Total logic elements 4,893 / 6,272 ( 78 % )





I looks like there should be more space for some additional functions.



Cheers!



Max
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Max Scane
2015-04-08 23:07:00 UTC
Permalink
I'm wondering about the value of having RS-232 level converters and DE9
connectors on a board these days.

Since most PCs don't have a serial port, you need a USB-Serial converter
which ends up with a whole lot of cable and connectors just to get a
console port connection. Also you need a minimum of two cables (power and
serial) to use the board.

Perhaps we could consider using something like this:
https://www.sparkfun.com/products/9717

All you would need is a 6 pin header on the board and you could power the
whole board from USB.

Another alternative would be to use a USB FIFO or USB serial adapter on the
board

https://www.sparkfun.com/products/9873
or
https://www.sparkfun.com/products/7841

Again providing 1 cable for I/O and power.


Just a thought..

Cheers!

Max
Post by James Moxham
Very preliminary board idea for a Cyclone IV multicomp. Had to trim a
few things as I'd like to get it on 10x10cm as this size is much cheaper to
make. Quite a number of spare pins. RS232 ports have full hardware
handshaking. Otherwise tried to keep it as close to Grant's design as
possible.
Cheers, James
This is the compilation results for a fully spec'd Multicomp with 2 serial
ports, VGA, MMU, Interrupts and SD card.
Cyclone II EP2C5T144 (Current Multicomp)
Total logic elements 4,593 / 4,608 ( 100 % )
Cyclone IV EP4CE6E22C8
Total logic elements 4,893 / 6,272 ( 78 % )
I looks like there should be more space for some additional functions.
Cheers!
Max
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Martin Lukasek
2015-04-09 14:15:57 UTC
Permalink
I think this is a good idea. I would actually prefer to put miniUSB connector and FT232RL directly on board. It is slightly more expensive solution, than attaching cheap module, but no messing wires, no unnecessary connectors.

Kind regards

Martin


From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of Max Scane
Sent: Thursday, April 09, 2015 1:07 AM
To: N8VEM
Subject: Re: [N8VEM: 19465] Re: Vintage + modern = fun

I'm wondering about the value of having RS-232 level converters and DE9 connectors on a board these days.

Since most PCs don't have a serial port, you need a USB-Serial converter which ends up with a whole lot of cable and connectors just to get a console port connection. Also you need a minimum of two cables (power and serial) to use the board.

Perhaps we could consider using something like this: https://www.sparkfun.com/products/9717

All you would need is a 6 pin header on the board and you could power the whole board from USB.

Another alternative would be to use a USB FIFO or USB serial adapter on the board

https://www.sparkfun.com/products/9873
or
https://www.sparkfun.com/products/7841

Again providing 1 cable for I/O and power.


Just a thought..

Cheers!

Max








On Wed, Apr 8, 2015 at 11:38 PM, James Moxham <***@internode.on.net<mailto:***@internode.on.net>> wrote:
Very preliminary board idea for a Cyclone IV multicomp. Had to trim a few things as I'd like to get it on 10x10cm as this size is much cheaper to make. Quite a number of spare pins. RS232 ports have full hardware handshaking. Otherwise tried to keep it as close to Grant's design as possible.

Cheers, James


On Wed, 08 Apr 2015 20:09:55 +0930, Max Scane <***@gmail.com<mailto:***@gmail.com>> wrote:

I Just did some experimenting with Quartus:

This is the compilation results for a fully spec'd Multicomp with 2 serial ports, VGA, MMU, Interrupts and SD card.

Cyclone II EP2C5T144 (Current Multicomp)

Total logic elements 4,593 / 4,608 ( 100 % )


Cyclone IV EP4CE6E22C8

Total logic elements 4,893 / 6,272 ( 78 % )


I looks like there should be more space for some additional functions.

Cheers!

Max


--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com<mailto:n8vem+***@googlegroups.com>.
To post to this group, send email to ***@googlegroups.com<mailto:***@googlegroups.com>.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com<mailto:n8vem+***@googlegroups.com>.
To post to this group, send email to ***@googlegroups.com<mailto:***@googlegroups.com>.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Max Scane
2015-04-09 20:02:27 UTC
Permalink
Yes I agree. I looked at both the FT232RL and the FT245RL but those chips
are too small for my soldering skills unfortunately.

Those breakout boards can be mounted with pin headers however, they do take
up a bit more space.

Max
Post by Martin Lukasek
I think this is a good idea. I would actually prefer to put miniUSB
connector and FT232RL directly on board. It is slightly more expensive
solution, than attaching cheap module, but no messing wires, no unnecessary
connectors.
Kind regards
Martin
Of *Max Scane
*Sent:* Thursday, April 09, 2015 1:07 AM
*To:* N8VEM
*Subject:* Re: [N8VEM: 19465] Re: Vintage + modern = fun
I'm wondering about the value of having RS-232 level converters and DE9
connectors on a board these days.
Since most PCs don't have a serial port, you need a USB-Serial converter
which ends up with a whole lot of cable and connectors just to get a
console port connection. Also you need a minimum of two cables (power and
serial) to use the board.
https://www.sparkfun.com/products/9717
All you would need is a 6 pin header on the board and you could power the
whole board from USB.
Another alternative would be to use a USB FIFO or USB serial adapter on the board
https://www.sparkfun.com/products/9873
or
https://www.sparkfun.com/products/7841
Again providing 1 cable for I/O and power.
Just a thought..
Cheers!
Max
Very preliminary board idea for a Cyclone IV multicomp. Had to trim a few
things as I'd like to get it on 10x10cm as this size is much cheaper to
make. Quite a number of spare pins. RS232 ports have full hardware
handshaking. Otherwise tried to keep it as close to Grant's design as
possible.
Cheers, James
This is the compilation results for a fully spec'd Multicomp with 2 serial
ports, VGA, MMU, Interrupts and SD card.
Cyclone II EP2C5T144 (Current Multicomp)
Total logic elements 4,593 / 4,608 ( 100 % )
Cyclone IV EP4CE6E22C8
Total logic elements 4,893 / 6,272 ( 78 % )
I looks like there should be more space for some additional functions.
Cheers!
Max
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Martin Lukasek
2015-04-11 07:22:23 UTC
Permalink
You would be surprised how easy actually is to solder this chip. Just fix it in corners, use enough of flux and bigger iron. But I found another nice solution on Sergey’s Zeta SBC V2 project, so anyone can choose what to use. Thanks to Sergey, I will try it on Zeta.

It is possible to use FTDI DB9-USB-M module (Mouser 895-DB9-USB-RS232-M<http://www.mouser.com/ProductDetail/FTDI/DB9-USB-M/?qs=sGAEpiMZZMtcidiSkZ6c9v%252b4CMhdZ6iS>) instead of the serial port connector P3. This module contains RS232 to USB converter IC and provides a mini USB connector. (Alexey, thank you for this tip). It even might be possible to use FTDI DB9-USB-D5-M module, eliminating MAX232A and related capacitors, and connecting the module directly to the UART.

Kind regards

Martin


From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of Max Scane
Sent: Thursday, April 09, 2015 10:02 PM
To: N8VEM
Subject: Re: [N8VEM: 19470] Re: Vintage + modern = fun

Yes I agree. I looked at both the FT232RL and the FT245RL but those chips are too small for my soldering skills unfortunately.

Those breakout boards can be mounted with pin headers however, they do take up a bit more space.

Max

On Fri, Apr 10, 2015 at 12:15 AM, Martin Lukasek <***@artax.cz<mailto:***@artax.cz>> wrote:
I think this is a good idea. I would actually prefer to put miniUSB connector and FT232RL directly on board. It is slightly more expensive solution, than attaching cheap module, but no messing wires, no unnecessary connectors.

Kind regards

Martin


From: ***@googlegroups.com<mailto:***@googlegroups.com> [mailto:***@googlegroups.com<mailto:***@googlegroups.com>] On Behalf Of Max Scane
Sent: Thursday, April 09, 2015 1:07 AM
To: N8VEM
Subject: Re: [N8VEM: 19465] Re: Vintage + modern = fun

I'm wondering about the value of having RS-232 level converters and DE9 connectors on a board these days.

Since most PCs don't have a serial port, you need a USB-Serial converter which ends up with a whole lot of cable and connectors just to get a console port connection. Also you need a minimum of two cables (power and serial) to use the board.

Perhaps we could consider using something like this: https://www.sparkfun.com/products/9717

All you would need is a 6 pin header on the board and you could power the whole board from USB.

Another alternative would be to use a USB FIFO or USB serial adapter on the board

https://www.sparkfun.com/products/9873
or
https://www.sparkfun.com/products/7841

Again providing 1 cable for I/O and power.


Just a thought..

Cheers!

Max








On Wed, Apr 8, 2015 at 11:38 PM, James Moxham <***@internode.on.net<mailto:***@internode.on.net>> wrote:
Very preliminary board idea for a Cyclone IV multicomp. Had to trim a few things as I'd like to get it on 10x10cm as this size is much cheaper to make. Quite a number of spare pins. RS232 ports have full hardware handshaking. Otherwise tried to keep it as close to Grant's design as possible.

Cheers, James


On Wed, 08 Apr 2015 20:09:55 +0930, Max Scane <***@gmail.com<mailto:***@gmail.com>> wrote:

I Just did some experimenting with Quartus:

This is the compilation results for a fully spec'd Multicomp with 2 serial ports, VGA, MMU, Interrupts and SD card.

Cyclone II EP2C5T144 (Current Multicomp)

Total logic elements 4,593 / 4,608 ( 100 % )


Cyclone IV EP4CE6E22C8

Total logic elements 4,893 / 6,272 ( 78 % )


I looks like there should be more space for some additional functions.

Cheers!

Max


--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com<mailto:n8vem+***@googlegroups.com>.
To post to this group, send email to ***@googlegroups.com<mailto:***@googlegroups.com>.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com<mailto:n8vem+***@googlegroups.com>.
To post to this group, send email to ***@googlegroups.com<mailto:***@googlegroups.com>.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com<mailto:n8vem+***@googlegroups.com>.
To post to this group, send email to ***@googlegroups.com<mailto:***@googlegroups.com>.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.

--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com<mailto:n8vem+***@googlegroups.com>.
To post to this group, send email to ***@googlegroups.com<mailto:***@googlegroups.com>.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Loading...