Discussion:
[N8VEM: 18796] An MC68030 SBC Design
nm156
2014-10-06 22:19:10 UTC
Permalink
Hi Guys!

Been a lurker on the group for some time now, this is my first post.

Anyway, I've been wanting to do a 68030 system for many years and went
through several false starts. Finally I found enough time end energy to
pull something together.

I suppose it doesn't meet the N8VEM design status quo of using free
software (been a Proteus user for years), has programmable logic (16V8
GALS), and uses SMD for video and ethernet.


Attached is my design of the "Gryphon Oh-thirty" single board computer.
This is not yet built, I've just sent out for a run of 5 boards.

Specs are

MC68030 @ 25MHz
MC68882 @ 25MHz
32M DRAM via 8422V Controller
512K SRAM (to help get it initially running)
512K FLASH
Parallel Port
2 Serial Ports
8x42 Keyboard/Mouse Controller
AY-3-8910 Sound
37C65 FDC
IDE interface
RTC 72421 Clock
RTL8019AS Ethernet
S1D13505 Video (800x600) - (In a TQFP-128 to PGA-128 SMT Adapter)


Gerber files were rendered with http://www.zofzpcb.com/ for the image


I'm a hobbyist, not an engineer so I expect there are some problems
lurking...

Paul


PS

Hi Andrew. Not sure if you remember me, but I picked up the ebay terminal
from you in Dayton last year. We had a nice discussion about the N8VEM
project and I bought some boards (Still unpopulated and on my to-do list)
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
J. Alexander Jacocks
2014-10-07 11:46:20 UTC
Permalink
Paul,

I can't say whether this meets the N8VEM project goals, or not, but that is
a heck of a cool project! I'm a 68k-series fan, myself, and I'd love to
build and test a board, like this.

I'll be very curious to hear where you go, with this project.

Thanks!
- Alex
Post by nm156
Hi Guys!
Been a lurker on the group for some time now, this is my first post.
Anyway, I've been wanting to do a 68030 system for many years and went
through several false starts. Finally I found enough time end energy to
pull something together.
I suppose it doesn't meet the N8VEM design status quo of using free
software (been a Proteus user for years), has programmable logic (16V8
GALS), and uses SMD for video and ethernet.
Attached is my design of the "Gryphon Oh-thirty" single board computer.
This is not yet built, I've just sent out for a run of 5 boards.
Specs are
32M DRAM via 8422V Controller
512K SRAM (to help get it initially running)
512K FLASH
Parallel Port
2 Serial Ports
8x42 Keyboard/Mouse Controller
AY-3-8910 Sound
37C65 FDC
IDE interface
RTC 72421 Clock
RTL8019AS Ethernet
S1D13505 Video (800x600) - (In a TQFP-128 to PGA-128 SMT Adapter)
Gerber files were rendered with http://www.zofzpcb.com/ for the image
I'm a hobbyist, not an engineer so I expect there are some problems
lurking...
Paul
PS
Hi Andrew. Not sure if you remember me, but I picked up the ebay terminal
from you in Dayton last year. We had a nice discussion about the N8VEM
project and I bought some boards (Still unpopulated and on my to-do list)
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
paul dennison
2014-10-07 11:56:17 UTC
Permalink
hi looks very good how about sending full files so we all can have a
look at this board got Proteus would like the files
if send them many thanks had hope it all goes okay for you can u supply
the specs has well please
paul
leeds
england
Post by J. Alexander Jacocks
Paul,
I can't say whether this meets the N8VEM project goals, or not, but
that is a heck of a cool project! I'm a 68k-series fan, myself, and
I'd love to build and test a board, like this.
I'll be very curious to hear where you go, with this project.
Thanks!
- Alex
Hi Guys!
Been a lurker on the group for some time now, this is my first post.
Anyway, I've been wanting to do a 68030 system for many years and
went through several false starts. Finally I found enough time
end energy to pull something together.
I suppose it doesn't meet the N8VEM design status quo of using
free software (been a Proteus user for years), has programmable
logic (16V8 GALS), and uses SMD for video and ethernet.
Attached is my design of the "Gryphon Oh-thirty" single board
computer. This is not yet built, I've just sent out for a run of
5 boards.
Specs are
32M DRAM via 8422V Controller
512K SRAM (to help get it initially running)
512K FLASH
Parallel Port
2 Serial Ports
8x42 Keyboard/Mouse Controller
AY-3-8910 Sound
37C65 FDC
IDE interface
RTC 72421 Clock
RTL8019AS Ethernet
S1D13505 Video (800x600) - (In a TQFP-128 to PGA-128 SMT Adapter)
Gerber files were rendered with http://www.zofzpcb.com/ for the image
I'm a hobbyist, not an engineer so I expect there are some
problems lurking...
Paul
PS
Hi Andrew. Not sure if you remember me, but I picked up the ebay
terminal from you in Dayton last year. We had a nice discussion
about the N8VEM project and I bought some boards (Still
unpopulated and on my to-do list)
--
You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it,
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
oscarv
2014-10-07 13:58:11 UTC
Permalink
Paul,

Astonishing. Way out of my league alas, but what OS are you planning to
bring up?

Regards,
nm156
2014-10-07 21:53:16 UTC
Permalink
Thanks. Right now I'd be happy with "Hello World!" on a serial terminal!
I've looked into Minix68K, NetBSD, EmuTOS, CP/M68K but it's still too early
for a real decision.
Post by oscarv
Paul,
Astonishing. Way out of my league alas, but what OS are you planning to
bring up?
Regards,
Oscar.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
John Coffman
2014-10-07 22:08:28 UTC
Permalink
<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN">
<html>
<head>
<meta content="text/html; charset=ISO-8859-1"
http-equiv="Content-Type">
</head>
<body bgcolor="#ffffff" text="#000000">
CP/M-68 is running on the Mini-68K board + MF/PIC board combination.<br>
<br>
--John<br>
<br>
<br>
<br>
On 10/07/2014 02:53 PM, nm156 wrote:
<blockquote
cite="mid:ba5054ef-932a-4c5b-9cda-e1ad10b39705-/***@public.gmane.org"
type="cite">
<div dir="ltr">Thanks. &nbsp;Right now I'd be happy with "Hello World!"
on a serial terminal! &nbsp; I've looked into Minix68K, NetBSD,
EmuTOS, CP/M68K but it's still too early for a real decision.
<div><br>
<br>
On Tuesday, October 7, 2014 9:58:11 AM UTC-4, oscarv wrote:
<blockquote class="gmail_quote" style="margin: 0pt 0pt 0pt
0.8ex; border-left: 1px solid rgb(204, 204, 204);
padding-left: 1ex;">
<div dir="ltr">Paul,<br>
<br>
Astonishing. Way out of my league alas, but what OS are
you planning to bring up?<br>
<br>
Regards,<br>
<br>
Oscar.<br>
<br>
</div>
</blockquote>
</div>
</div>
-- <br>
You received this message because you are subscribed to the Google
Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving emails from it,
send an email to <a moz-do-not-send="true"
href="mailto:n8vem+unsubscribe-/***@public.gmane.org">n8vem+unsubscribe-/***@public.gmane.org</a>.<br>
To post to this group, send email to <a moz-do-not-send="true"
href="mailto:n8vem-/***@public.gmane.org">n8vem-/***@public.gmane.org</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<br>
</blockquote>
</body>
</html>

<p></p>

-- <br />
You received this message because you are subscribed to the Google Groups &quot;N8VEM&quot; group.<br />
To unsubscribe from this group and stop receiving emails from it, send an email to <a href="mailto:n8vem+unsubscribe-/***@public.gmane.org">n8vem+unsubscribe-/***@public.gmane.org</a>.<br />
To post to this group, send email to <a href="mailto:n8vem-/***@public.gmane.org">n8vem-/***@public.gmane.org</a>.<br />
Visit this group at <a href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br />
For more options, visit <a href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<br />
paul dennison
2014-10-07 14:50:40 UTC
Permalink
dont know have to look at the ciruict in protus will have to input myself
very good board it is
Post by oscarv
Paul,
Astonishing. Way out of my league alas, but what OS are you planning
to bring up?
Regards,
Oscar.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
yoda
2014-10-07 16:38:29 UTC
Permalink
Hi

If you have any spare boards I would be interested in helping.

Dave
Post by nm156
Hi Guys!
Been a lurker on the group for some time now, this is my first post.
Anyway, I've been wanting to do a 68030 system for many years and went
through several false starts. Finally I found enough time end energy to
pull something together.
I suppose it doesn't meet the N8VEM design status quo of using free
software (been a Proteus user for years), has programmable logic (16V8
GALS), and uses SMD for video and ethernet.
Attached is my design of the "Gryphon Oh-thirty" single board computer.
This is not yet built, I've just sent out for a run of 5 boards.
Specs are
32M DRAM via 8422V Controller
512K SRAM (to help get it initially running)
512K FLASH
Parallel Port
2 Serial Ports
8x42 Keyboard/Mouse Controller
AY-3-8910 Sound
37C65 FDC
IDE interface
RTC 72421 Clock
RTL8019AS Ethernet
S1D13505 Video (800x600) - (In a TQFP-128 to PGA-128 SMT Adapter)
Gerber files were rendered with http://www.zofzpcb.com/ for the image
I'm a hobbyist, not an engineer so I expect there are some problems
lurking...
Paul
PS
Hi Andrew. Not sure if you remember me, but I picked up the ebay terminal
from you in Dayton last year. We had a nice discussion about the N8VEM
project and I bought some boards (Still unpopulated and on my to-do list)
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
nm156
2014-10-07 22:24:06 UTC
Permalink
I should have 5 boards in the next few weeks. I plan on keeping two and
giving away the rest to folks that have a serious interest. Andrew
mentioned Yoda as a potential collaborator last year, and with his interest
board #1 goes to him. I think the members here know better than anyone who
would be good candidates for the other two boards, so I'll defer to the
group.

Paul
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
yoda
2014-10-08 01:29:14 UTC
Permalink
Thank you - I will be happy to pay for the board. I will start looking at
gathering parts - I have some of them already.

Dave
Post by nm156
I should have 5 boards in the next few weeks. I plan on keeping two and
giving away the rest to folks that have a serious interest. Andrew
mentioned Yoda as a potential collaborator last year, and with his interest
board #1 goes to him. I think the members here know better than anyone who
would be good candidates for the other two boards, so I'll defer to the
group.
Paul
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
nm156
2014-10-24 23:03:53 UTC
Permalink
Hello All!

After playing tag with USPS this week, the boards have arrived!

They look really nice, 8.5" x 11", with blue solder mask and ENIG finish.

As promised, I'm keeping 2 and sending out 3. I have already sent an email
to Yoda.

Can Paul Dennison and computerdoc contact me off list? We'll coordinate
board delivery.

paulfincato gmail com

Attached are a couple of pics testing component fit.

I found the first errata yesterday:
U19 pin 1 is not connected to U37 pin 15. I named one end DTACK_VID and
the other DSACK1_VID. Doh!

Paul (nm156)
Post by nm156
I should have 5 boards in the next few weeks. I plan on keeping two and
giving away the rest to folks that have a serious interest. Andrew
mentioned Yoda as a potential collaborator last year, and with his interest
board #1 goes to him. I think the members here know better than anyone who
would be good candidates for the other two boards, so I'll defer to the
group.
Paul
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
'Andrew Lynch' via N8VEM
2014-10-25 12:35:18 UTC
Permalink
Hi

Please create a folder on the wiki and upload design files, photos, schematic, etc.



Thanks!

Andrew Lynch



From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of nm156
Sent: Friday, October 24, 2014 7:04 PM
To: ***@googlegroups.com
Subject: [Bulk] [N8VEM: 18887] Re: An MC68030 SBC Design



Hello All!



After playing tag with USPS this week, the boards have arrived!



They look really nice, 8.5" x 11", with blue solder mask and ENIG finish.



As promised, I'm keeping 2 and sending out 3. I have already sent an email to Yoda.



Can Paul Dennison and computerdoc contact me off list? We'll coordinate board delivery.



paulfincato gmail com



Attached are a couple of pics testing component fit.



I found the first errata yesterday:

U19 pin 1 is not connected to U37 pin 15. I named one end DTACK_VID and the other DSACK1_VID. Doh!



Paul (nm156)




On Tuesday, October 7, 2014 6:24:07 PM UTC-4, nm156 wrote:



I should have 5 boards in the next few weeks. I plan on keeping two and giving away the rest to folks that have a serious interest. Andrew mentioned Yoda as a potential collaborator last year, and with his interest board #1 goes to him. I think the members here know better than anyone who would be good candidates for the other two boards, so I'll defer to the group.



Paul
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
nm156
2014-10-27 21:00:00 UTC
Permalink
All,

The project files have been uploaded to a new folder under "Current
Projects (new Jan 2013)" as "Gryphon68030" on the wiki.


The first two boards shipped out today to Yoda and Paul Dennison.


Paul
Post by 'Andrew Lynch' via N8VEM
Hi
Please create a folder on the wiki and upload design files, photos, schematic, etc.
Thanks!
Andrew Lynch
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
'Andrew Lynch' via N8VEM
2014-11-02 13:43:12 UTC
Permalink
Thanks!



I am looking forward to seeing photos of the project in operation.



Andrew Lynch



From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of nm156
Sent: Monday, October 27, 2014 5:00 PM
To: ***@googlegroups.com
Subject: Re: [Bulk] [N8VEM: 18907] Re: An MC68030 SBC Design





All,



The project files have been uploaded to a new folder under "Current Projects (new Jan 2013)" as "Gryphon68030" on the wiki.





The first two boards shipped out today to Yoda and Paul Dennison.





Paul




On Saturday, October 25, 2014 8:35:02 AM UTC-4, lynchaj wrote:

Hi

Please create a folder on the wiki and upload design files, photos, schematic, etc.



Thanks!

Andrew Lynch
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
paul
2014-10-07 22:25:12 UTC
Permalink
I would like a board would pay thanks
yoda
2014-10-08 04:18:27 UTC
Permalink
Hi
Been looking at the schematics and the GAL equations. A question I have is you have Flash at 0 so that means that all the vectors will have to be hard coded unless other memory gets mapped here with mmu (an area I have not played with a lot)? Is there any plan to use the usual trampoline with counting AS* and at boot time the flash is mapped at 0 and then gets mapped to high address reange after the pc, and stkptr are loaded from the flash and the cpu does a jump to that address/. I know being at 0 is simpler to debug. Just curious? I think getting characters out the serial port should be pretty straight forward with minimal population of the board and building up from there.

Dave
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Borut
2014-10-08 10:03:40 UTC
Permalink
On 68030 (and all 68k above 010) you can move vectors to other location by
setting Vector Base Register.
But i would agree that having ram at 0 is preferable, though i never liked
the solution with counter or shift register.
You could probably still solve this with a little board surgery and adding
some logic to two gals.
For example, discard using A16 on U36 and use this input to force !cs_rom
instead of !cs_ram
Use spare pins on U21 to create a little latch, that gets set at reset and
reset at first write. You will probably have to
also rewire signal on pin1 of U21, if i remember correctly it is used as
the CLK input for registers.
Bind output of this latch to exA16 on U36.
This logic would then force rom selection instead of ram until first write
cycle.

Best regards,
Bo/
Post by yoda
Hi
Been looking at the schematics and the GAL equations. A question I have is
you have Flash at 0 so that means that all the vectors will have to be hard
coded unless other memory gets mapped here with mmu (an area I have not
played with a lot)? Is there any plan to use the usual trampoline with
counting AS* and at boot time the flash is mapped at 0 and then gets mapped
to high address reange after the pc, and stkptr are loaded from the flash
and the cpu does a jump to that address/. I know being at 0 is simpler to
debug. Just curious? I think getting characters out the serial port
should be pretty straight forward with minimal population of the board and
building up from there.
Dave
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
yoda
2014-10-08 12:47:49 UTC
Permalink
Hi Bo

Forgot about the VBR - thanks. It will preclude some operating systems
(like CP/M 68k running) with out memory at 0 but maybe with the MMU we can
fake it out. CP/M 68K is probably not very interesting on this board any
ways.

Dave
Post by Borut
On 68030 (and all 68k above 010) you can move vectors to other location by
setting Vector Base Register.
But i would agree that having ram at 0 is preferable, though i never liked
the solution with counter or shift register.
You could probably still solve this with a little board surgery and adding
some logic to two gals.
For example, discard using A16 on U36 and use this input to force !cs_rom
instead of !cs_ram
Use spare pins on U21 to create a little latch, that gets set at reset
and reset at first write. You will probably have to
also rewire signal on pin1 of U21, if i remember correctly it is used as
the CLK input for registers.
Bind output of this latch to exA16 on U36.
This logic would then force rom selection instead of ram until first write
cycle.
Best regards,
Bo/
Post by yoda
Hi
Been looking at the schematics and the GAL equations. A question I have
is you have Flash at 0 so that means that all the vectors will have to be
hard coded unless other memory gets mapped here with mmu (an area I have
not played with a lot)? Is there any plan to use the usual trampoline with
counting AS* and at boot time the flash is mapped at 0 and then gets mapped
to high address reange after the pc, and stkptr are loaded from the flash
and the cpu does a jump to that address/. I know being at 0 is simpler to
debug. Just curious? I think getting characters out the serial port
should be pretty straight forward with minimal population of the board and
building up from there.
Dave
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Borut
2014-10-08 15:40:41 UTC
Permalink
Hi Dave,

You can set up CP/M 68k to start at different location than 0.
That is made possible by bios call 18, getseg, which returns both lower and
upper boundary.
What would be a challenge and would require another working CP/M68K machine
would be building the
CPM.SYS for such a configuration.
Luckily there is an excellent CP/M simulator available from Dave Schultz at:
http://home.earthlink.net/~schultdw/cpm68/simulator.html

On the other hand, last year i exchanged some emails with mr. Peter Stark
of the Star-K Systems.
http://www.users.cloud9.net/~stark/
He gave me permission to use sources of his SK*DOS/68K for a machine of my
own design.
He also gave a permission for N8VEM group to use SK*DOS/68K souces as long
as we give him credit for it.
Most of the sources are availabe from his web site. There are some
utilities missing, but i have received binaries for them.
SK*DOS/68K is 68K operating system, very similar to FLEX9 in it's design.
It ran on PT68k series of computers.
There exists a considerable library of disk images with various
applications, languages etc for PT68k in fufu archives.
Much more than for CP/M68k anyway.

Best regards,
Bo/
Post by yoda
Hi Bo
Forgot about the VBR - thanks. It will preclude some operating systems
(like CP/M 68k running) with out memory at 0 but maybe with the MMU we can
fake it out. CP/M 68K is probably not very interesting on this board any
ways.
Dave
Post by Borut
On 68030 (and all 68k above 010) you can move vectors to other location
by setting Vector Base Register.
But i would agree that having ram at 0 is preferable, though i never
liked the solution with counter or shift register.
You could probably still solve this with a little board surgery and
adding some logic to two gals.
For example, discard using A16 on U36 and use this input to force !cs_rom
instead of !cs_ram
Use spare pins on U21 to create a little latch, that gets set at reset
and reset at first write. You will probably have to
also rewire signal on pin1 of U21, if i remember correctly it is used as
the CLK input for registers.
Bind output of this latch to exA16 on U36.
This logic would then force rom selection instead of ram until first
write cycle.
Best regards,
Bo/
Post by yoda
Hi
Been looking at the schematics and the GAL equations. A question I have
is you have Flash at 0 so that means that all the vectors will have to be
hard coded unless other memory gets mapped here with mmu (an area I have
not played with a lot)? Is there any plan to use the usual trampoline with
counting AS* and at boot time the flash is mapped at 0 and then gets mapped
to high address reange after the pc, and stkptr are loaded from the flash
and the cpu does a jump to that address/. I know being at 0 is simpler to
debug. Just curious? I think getting characters out the serial port
should be pretty straight forward with minimal population of the board and
building up from there.
Dave
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2014-10-08 18:52:59 UTC
Permalink
Hi Guys!

I have been interested in Peter Stark’s SK*DOS for quite a while now. I’m very pleased that he gave the group the go ahead to use his code. I’ll be interested in this new PCB as well.

Paul, when you have a PCB available, I’d like to get one. Thanks a bunch in advance.



Kip Koon

computerdoc-***@public.gmane.org

http://www.cocopedia.com/wiki/index.php/Kip_Koon

http://computerpcdoc.com/



From: n8vem-/***@public.gmane.org [mailto:n8vem-/***@public.gmane.org] On Behalf Of Borut
Sent: Wednesday, October 08, 2014 11:41 AM
To: n8vem-/***@public.gmane.org
Subject: [N8VEM: 18820] Re: An MC68030 SBC Design



Hi Dave,

You can set up CP/M 68k to start at different location than 0.
That is made possible by bios call 18, getseg, which returns both lower and upper boundary.
What would be a challenge and would require another working CP/M68K machine would be building the
CPM.SYS for such a configuration.
Luckily there is an excellent CP/M simulator available from Dave Schultz at:
http://home.earthlink.net/~schultdw/cpm68/simulator.html

On the other hand, last year i exchanged some emails with mr. Peter Stark of the Star-K Systems.
http://www.users.cloud9.net/~stark/
He gave me permission to use sources of his SK*DOS/68K for a machine of my own design.
He also gave a permission for N8VEM group to use SK*DOS/68K souces as long as we give him credit for it.
Most of the sources are availabe from his web site. There are some utilities missing, but i have received binaries for them.
SK*DOS/68K is 68K operating system, very similar to FLEX9 in it's design. It ran on PT68k series of computers.
There exists a considerable library of disk images with various applications, languages etc for PT68k in fufu archives.
Much more than for CP/M68k anyway.

Best regards,
Bo/


On Wednesday, October 8, 2014 2:47:49 PM UTC+2, yoda wrote:

Hi Bo



Forgot about the VBR - thanks. It will preclude some operating systems (like CP/M 68k running) with out memory at 0 but maybe with the MMU we can fake it out. CP/M 68K is probably not very interesting on this board any ways.



Dave


On Wednesday, October 8, 2014 5:03:40 AM UTC-5, Borut wrote:

On 68030 (and all 68k above 010) you can move vectors to other location by setting Vector Base Register.
But i would agree that having ram at 0 is preferable, though i never liked the solution with counter or shift register.
You could probably still solve this with a little board surgery and adding some logic to two gals.
For example, discard using A16 on U36 and use this input to force !cs_rom instead of !cs_ram
Use spare pins on U21 to create a little latch, that gets set at reset and reset at first write. You will probably have to
also rewire signal on pin1 of U21, if i remember correctly it is used as the CLK input for registers.
Bind output of this latch to exA16 on U36.
This logic would then force rom selection instead of ram until first write cycle.

Best regards,
Bo/


On Wednesday, October 8, 2014 6:18:27 AM UTC+2, yoda wrote:

Hi
Been looking at the schematics and the GAL equations. A question I have is you have Flash at 0 so that means that all the vectors will have to be hard coded unless other memory gets mapped here with mmu (an area I have not played with a lot)? Is there any plan to use the usual trampoline with counting AS* and at boot time the flash is mapped at 0 and then gets mapped to high address reange after the pc, and stkptr are loaded from the flash and the cpu does a jump to that address/. I know being at 0 is simpler to debug. Just curious? I think getting characters out the serial port should be pretty straight forward with minimal population of the board and building up from there.

Dave
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Jim Strickland
2014-10-08 19:22:18 UTC
Permalink
What about Macintosh System 7? The ram sizes and cpu power you're throwing
around are enough to make it happy. It's a project I've had in mind for a
while, but it will be a long time before I have the chops to design the
thing myself. While emulating the hardware exactly is problematic, I recall
reading from the miniVmac documentation that everything an old-world mac
does goes through the toolbox (rom), so if you can make the toolbox work,
the underlying hardware is less critical.
Post by nm156
Hi Guys!
I have been interested in Peter Stark’s SK*DOS for quite a while now. I’m
very pleased that he gave the group the go ahead to use his code. I’ll be
interested in this new PCB as well.
Paul, when you have a PCB available, I’d like to get one. Thanks a bunch in advance.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
*Sent:* Wednesday, October 08, 2014 11:41 AM
*Subject:* [N8VEM: 18820] Re: An MC68030 SBC Design
Hi Dave,
You can set up CP/M 68k to start at different location than 0.
That is made possible by bios call 18, getseg, which returns both lower and upper boundary.
What would be a challenge and would require another working CP/M68K
machine would be building the
CPM.SYS for such a configuration.
http://home.earthlink.net/~schultdw/cpm68/simulator.html
On the other hand, last year i exchanged some emails with mr. Peter Stark
of the Star-K Systems.
http://www.users.cloud9.net/~stark/
He gave me permission to use sources of his SK*DOS/68K for a machine of
my own design.
He also gave a permission for N8VEM group to use SK*DOS/68K souces as long
as we give him credit for it.
Most of the sources are availabe from his web site. There are some
utilities missing, but i have received binaries for them.
SK*DOS/68K is 68K operating system, very similar to FLEX9 in it's design.
It ran on PT68k series of computers.
There exists a considerable library of disk images with various
applications, languages etc for PT68k in fufu archives.
Much more than for CP/M68k anyway.
Best regards,
Bo/
Hi Bo
Forgot about the VBR - thanks. It will preclude some operating systems
(like CP/M 68k running) with out memory at 0 but maybe with the MMU we can
fake it out. CP/M 68K is probably not very interesting on this board any
ways.
Dave
On 68030 (and all 68k above 010) you can move vectors to other location by
setting Vector Base Register.
But i would agree that having ram at 0 is preferable, though i never liked
the solution with counter or shift register.
You could probably still solve this with a little board surgery and adding
some logic to two gals.
For example, discard using A16 on U36 and use this input to force !cs_rom
instead of !cs_ram
Use spare pins on U21 to create a little latch, that gets set at reset
and reset at first write. You will probably have to
also rewire signal on pin1 of U21, if i remember correctly it is used as
the CLK input for registers.
Bind output of this latch to exA16 on U36.
This logic would then force rom selection instead of ram until first write cycle.
Best regards,
Bo/
Hi
Been looking at the schematics and the GAL equations. A question I have is
you have Flash at 0 so that means that all the vectors will have to be hard
coded unless other memory gets mapped here with mmu (an area I have not
played with a lot)? Is there any plan to use the usual trampoline with
counting AS* and at boot time the flash is mapped at 0 and then gets mapped
to high address reange after the pc, and stkptr are loaded from the flash
and the cpu does a jump to that address/. I know being at 0 is simpler to
debug. Just curious? I think getting characters out the serial port
should be pretty straight forward with minimal population of the board and
building up from there.
Dave
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Edward Snider
2014-10-08 20:01:23 UTC
Permalink
That would be awesome. How would you implement video?

- Ed
Post by Jim Strickland
What about Macintosh System 7? The ram sizes and cpu power you're throwing
around are enough to make it happy. It's a project I've had in mind for a
while, but it will be a long time before I have the chops to design the
thing myself. While emulating the hardware exactly is problematic, I recall
reading from the miniVmac documentation that everything an old-world mac
does goes through the toolbox (rom), so if you can make the toolbox work,
the underlying hardware is less critical.
Post by nm156
Hi Guys!
I have been interested in Peter Stark’s SK*DOS for quite a while now.
I’m very pleased that he gave the group the go ahead to use his code. I’ll
be interested in this new PCB as well.
Paul, when you have a PCB available, I’d like to get one. Thanks a bunch
in advance.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
http://computerpcdoc.com/
Behalf Of *Borut
*Sent:* Wednesday, October 08, 2014 11:41 AM
*Subject:* [N8VEM: 18820] Re: An MC68030 SBC Design
Hi Dave,
You can set up CP/M 68k to start at different location than 0.
That is made possible by bios call 18, getseg, which returns both lower
and upper boundary.
What would be a challenge and would require another working CP/M68K
machine would be building the
CPM.SYS for such a configuration.
http://home.earthlink.net/~schultdw/cpm68/simulator.html
On the other hand, last year i exchanged some emails with mr. Peter Stark
of the Star-K Systems.
http://www.users.cloud9.net/~stark/
He gave me permission to use sources of his SK*DOS/68K for a machine of
my own design.
He also gave a permission for N8VEM group to use SK*DOS/68K souces as
long as we give him credit for it.
Most of the sources are availabe from his web site. There are some
utilities missing, but i have received binaries for them.
SK*DOS/68K is 68K operating system, very similar to FLEX9 in it's design.
It ran on PT68k series of computers.
There exists a considerable library of disk images with various
applications, languages etc for PT68k in fufu archives.
Much more than for CP/M68k anyway.
Best regards,
Bo/
Hi Bo
Forgot about the VBR - thanks. It will preclude some operating systems
(like CP/M 68k running) with out memory at 0 but maybe with the MMU we can
fake it out. CP/M 68K is probably not very interesting on this board any
ways.
Dave
On 68030 (and all 68k above 010) you can move vectors to other location
by setting Vector Base Register.
But i would agree that having ram at 0 is preferable, though i never
liked the solution with counter or shift register.
You could probably still solve this with a little board surgery and
adding some logic to two gals.
For example, discard using A16 on U36 and use this input to force !cs_rom
instead of !cs_ram
Use spare pins on U21 to create a little latch, that gets set at reset
and reset at first write. You will probably have to
also rewire signal on pin1 of U21, if i remember correctly it is used as
the CLK input for registers.
Bind output of this latch to exA16 on U36.
This logic would then force rom selection instead of ram until first write cycle.
Best regards,
Bo/
Hi
Been looking at the schematics and the GAL equations. A question I have
is you have Flash at 0 so that means that all the vectors will have to be
hard coded unless other memory gets mapped here with mmu (an area I have
not played with a lot)? Is there any plan to use the usual trampoline with
counting AS* and at boot time the flash is mapped at 0 and then gets mapped
to high address reange after the pc, and stkptr are loaded from the flash
and the cpu does a jump to that address/. I know being at 0 is simpler to
debug. Just curious? I think getting characters out the serial port
should be pretty straight forward with minimal population of the board and
building up from there.
Dave
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Jim Strickland
2014-10-09 02:34:28 UTC
Permalink
I'd probably take a long look at how it's done in the miniVmac emulator,
for starters. I don't /think/ there was much custom video hardware in the
original mac and fatmac. There were some awfully clever things to share the
CPU between the OS and video, none of which would really be appropriate if
you were going to have vga output. I wonder if, on a vga refresh cycle, you
could have a propeller that interrupts the 680xx and DMAs the pixel data
out of the video area of ram, refactoring them as needed and generating the
video signal? How you'd hide that from the operating system, I'm not at all
clear, but again. I'd look and see how the emulator does it. I'm
speculating and handwaving here. As I said, I won't have the chops to
design such a thing for a long time, so I don't know how sensible the idea
is.
Post by Edward Snider
That would be awesome. How would you implement video?
- Ed
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
paul dennison
2014-10-09 11:44:49 UTC
Permalink
anyone have library files for the 68000 processsor 68030 and the 68060
please just a long shot not very good at buiding my own librarys dont
matter which program they use many thanks
Post by Jim Strickland
I'd probably take a long look at how it's done in the miniVmac
emulator, for starters. I don't /think/ there was much custom video
hardware in the original mac and fatmac. There were some awfully
clever things to share the CPU between the OS and video, none of which
would really be appropriate if you were going to have vga output. I
wonder if, on a vga refresh cycle, you could have a propeller that
interrupts the 680xx and DMAs the pixel data out of the video area of
ram, refactoring them as needed and generating the video signal? How
you'd hide that from the operating system, I'm not at all clear, but
again. I'd look and see how the emulator does it. I'm speculating and
handwaving here. As I said, I won't have the chops to design such a
thing for a long time, so I don't know how sensible the idea is.
That would be awesome. How would you implement video?
- Ed
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Nikolay Dimitrov
2014-10-09 11:55:01 UTC
Permalink
Hi Paul,

Are you looking for specific functionality, or just generic m68k
support? If it's just generic, libgcc has support for some low level stuff:

https://gcc.gnu.org/onlinedocs/gccint/Libgcc.html

Then there are the newlib and eglibc, all of them are free software.

Kind regards,
Nikolay
Post by paul dennison
anyone have library files for the 68000 processsor 68030 and the 68060
please just a long shot not very good at buiding my own librarys dont
matter which program they use many thanks
Post by Jim Strickland
I'd probably take a long look at how it's done in the miniVmac
emulator, for starters. I don't /think/ there was much custom video
hardware in the original mac and fatmac. There were some awfully
clever things to share the CPU between the OS and video, none of which
would really be appropriate if you were going to have vga output. I
wonder if, on a vga refresh cycle, you could have a propeller that
interrupts the 680xx and DMAs the pixel data out of the video area of
ram, refactoring them as needed and generating the video signal? How
you'd hide that from the operating system, I'm not at all clear, but
again. I'd look and see how the emulator does it. I'm speculating and
handwaving here. As I said, I won't have the chops to design such a
thing for a long time, so I don't know how sensible the idea is.
That would be awesome. How would you implement video?
- Ed
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Borut
2014-10-10 14:43:31 UTC
Permalink
Paul,

As Nikolay said, probably the easiest route is is to adapt an existing
library
for your target. I used newlib. The board dependant stuff is in
<newlib-src>/libgloss/m68k for m68k targets. Check the examples.
If you want to use gdb for debugging, you also need gdb stub.
This might help you:
http://huihoo.org/mirrors/pub/embed/document/debugger/ew_GDB_RSP.pdf

Best regards,
Bo/
Post by Nikolay Dimitrov
Hi Paul,
Are you looking for specific functionality, or just generic m68k
https://gcc.gnu.org/onlinedocs/gccint/Libgcc.html
Then there are the newlib and eglibc, all of them are free software.
Kind regards,
Nikolay
Post by paul dennison
anyone have library files for the 68000 processsor 68030 and the 68060
please just a long shot not very good at buiding my own librarys dont
matter which program they use many thanks
Post by Jim Strickland
I'd probably take a long look at how it's done in the miniVmac
emulator, for starters. I don't /think/ there was much custom video
hardware in the original mac and fatmac. There were some awfully
clever things to share the CPU between the OS and video, none of which
would really be appropriate if you were going to have vga output. I
wonder if, on a vga refresh cycle, you could have a propeller that
interrupts the 680xx and DMAs the pixel data out of the video area of
ram, refactoring them as needed and generating the video signal? How
you'd hide that from the operating system, I'm not at all clear, but
again. I'd look and see how the emulator does it. I'm speculating and
handwaving here. As I said, I won't have the chops to design such a
thing for a long time, so I don't know how sensible the idea is.
That would be awesome. How would you implement video?
- Ed
--
You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
<javascript:>
Post by paul dennison
Post by Jim Strickland
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
<javascript:>
Post by paul dennison
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
John Coffman
2014-10-10 15:56:55 UTC
Permalink
<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN">
<html>
<head>
<meta content="text/html; charset=ISO-8859-1"
http-equiv="Content-Type">
<title></title>
</head>
<body bgcolor="#ffffff" text="#000000">
To all,<br>
<br>
Under "Builders Journals / John Coffman / Kicad" I have posted the
Kicad libraries that I use with both EEschema and PCBnew.<br>
<br>
These libraries contain 68000 series parts up to 68030RC for
EEschema.&nbsp; The 68020 and 68030 components should be carefully
checked; I have not used either of these components as yet.<br>
<br>
The main point of these libraries is *corrections* to the standard
Kicad libraries, which contain subtle errors.&nbsp; I always include
these libraries at the head of my component or module search paths
when using Kicad.<br>
<br>
Here is the link to the information page in the Kicad folder:<br>
&nbsp;&nbsp;&nbsp; &nbsp;&nbsp;&nbsp; <a
href="http://n8vem-sbc.pbworks.com/w/page/87229507/Kicad%20library%20info">http://n8vem-sbc.pbworks.com/w/page/87229507/Kicad%20library%20info</a><br>
<br>
--John<br>
<br>
<br>
<br>
<br>
On 10/10/2014 07:43 AM, Borut wrote:
<blockquote
cite="mid:ce2b4462-9d09-4883-92d5-d2596d723b39-/***@public.gmane.org"
type="cite">
<div dir="ltr">Paul,<br>
<br>
As Nikolay said, probably the easiest route is is to adapt an
existing library<br>
for your target. I used newlib. The board dependant stuff is in
<br>
&lt;newlib-src&gt;/libgloss/m68k for m68k targets.<font size="2">
Check the examples.<br>
If you want to use gdb for debugging, you also need gdb stub.<br>
This might help you:<br>
<a class="moz-txt-link-freetext" href="http://huihoo.org/mirrors/pub/embed/document/debugger/ew_GDB_RSP.pdf">http://huihoo.org/mirrors/pub/embed/document/debugger/ew_GDB_RSP.pdf</a><br>
<br>
Best regards,<br>
Bo/<br>
</font><br>
<br>
On Thursday, October 9, 2014 1:55:08 PM UTC+2, picmaster wrote:
<blockquote class="gmail_quote" style="margin: 0pt 0pt 0pt
0.8ex; border-left: 1px solid rgb(204, 204, 204);
padding-left: 1ex;">Hi Paul,
<br>
<br>
Are you looking for specific functionality, or just generic
m68k <br>
support? If it's just generic, libgcc has support for some low
level stuff:
<br>
<br>
<a moz-do-not-send="true"
href="https://gcc.gnu.org/onlinedocs/gccint/Libgcc.html"
target="_blank"
onmousedown="this.href='https://www.google.com/url?q\75https%3A%2F%2Fgcc.gnu.org%2Fonlinedocs%2Fgccint%2FLibgcc.html\46sa\75D\46sntz\0751\46usg\75AFQjCNH4sLgWRhyUPUfHJs9AiSmVKE3o8g';return
true;"
onclick="this.href='https://www.google.com/url?q\75https%3A%2F%2Fgcc.gnu.org%2Fonlinedocs%2Fgccint%2FLibgcc.html\46sa\75D\46sntz\0751\46usg\75AFQjCNH4sLgWRhyUPUfHJs9AiSmVKE3o8g';return
true;">https://gcc.gnu.org/<wbr>onlinedocs/gccint/Libgcc.html</a>
<br>
<br>
Then there are the newlib and eglibc, all of them are free
software.
<br>
<br>
Kind regards,
<br>
Nikolay
<br>
<br>
<br>
On 10/09/2014 02:44 PM, paul dennison wrote:
<br>
&gt; anyone have library files for the 68000 processsor 68030
and the 68060
<br>
&gt; please just a long shot not very good at buiding my own
librarys dont
<br>
&gt; matter which program they use many thanks
<br>
&gt; On 09/10/2014 03:34, Jim Strickland wrote:
<br>
&gt;&gt; I'd probably take a long look at how it's done in the
miniVmac
<br>
&gt;&gt; emulator, for starters. I don't /think/ there was
much custom video
<br>
&gt;&gt; hardware in the original mac and fatmac. There were
some awfully
<br>
&gt;&gt; clever things to share the CPU between the OS and
video, none of which
<br>
&gt;&gt; would really be appropriate if you were going to have
vga output. I
<br>
&gt;&gt; wonder if, on a vga refresh cycle, you could have a
propeller that
<br>
&gt;&gt; interrupts the 680xx and DMAs the pixel data out of
the video area of
<br>
&gt;&gt; ram, refactoring them as needed and generating the
video signal? How
<br>
&gt;&gt; you'd hide that from the operating system, I'm not at
all clear, but
<br>
&gt;&gt; again. I'd look and see how the emulator does it. I'm
speculating and
<br>
&gt;&gt; handwaving here. As I said, I won't have the chops to
design such a
<br>
&gt;&gt; thing for a long time, so I don't know how sensible
the idea is.
<br>
&gt;&gt;
<br>
&gt;&gt; On Wednesday, October 8, 2014 2:01:23 PM UTC-6,
Edward Snider wrote:
<br>
&gt;&gt;
<br>
&gt;&gt; &nbsp; &nbsp; That would be awesome. &nbsp;How would you implement
video?
<br>
&gt;&gt;
<br>
&gt;&gt; &nbsp; &nbsp; - Ed
<br>
&gt;&gt;
<br>
&gt;&gt;
<br>
&gt;&gt;
<br>
&gt;&gt; --
<br>
&gt;&gt; You received this message because you are subscribed
to the Google
<br>
&gt;&gt; Groups "N8VEM" group.
<br>
&gt;&gt; To unsubscribe from this group and stop receiving
emails from it, send
<br>
&gt;&gt; an email to <a moz-do-not-send="true"
href="javascript:" target="_blank"
gdf-obfuscated-mailto="8WUT4rwP_00J"
onmousedown="this.href='javascript:';return true;"
onclick="this.href='javascript:';return true;">n8vem+un...@<wbr>googlegroups.com</a>
<br>
&gt;&gt; &lt;mailto:<a moz-do-not-send="true"
href="javascript:" target="_blank"
gdf-obfuscated-mailto="8WUT4rwP_00J"
onmousedown="this.href='javascript:';return true;"
onclick="this.href='javascript:';return true;">n8vem+un...@<wbr>googlegroups.com</a>&gt;.
<br>
&gt;&gt; To post to this group, send email to <a
moz-do-not-send="true" href="javascript:" target="_blank"
gdf-obfuscated-mailto="8WUT4rwP_00J"
onmousedown="this.href='javascript:';return true;"
onclick="this.href='javascript:';return true;">n8...-/***@public.gmane.org</a>
<br>
&gt;&gt; &lt;mailto:<a moz-do-not-send="true"
href="javascript:" target="_blank"
gdf-obfuscated-mailto="8WUT4rwP_00J"
onmousedown="this.href='javascript:';return true;"
onclick="this.href='javascript:';return true;">n8...-/***@public.gmane.org</a><wbr>&gt;.
<br>
&gt;&gt; Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem" target="_blank"
onmousedown="this.href='http://groups.google.com/group/n8vem';return
true;"
onclick="this.href='http://groups.google.com/group/n8vem';return
true;">http://groups.google.com/<wbr>group/n8vem</a>.
<br>
&gt;&gt; For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout" target="_blank"
onmousedown="this.href='https://groups.google.com/d/optout';return
true;"
onclick="this.href='https://groups.google.com/d/optout';return
true;">https://groups.google.com/d/<wbr>optout</a>.
<br>
&gt;
<br>
&gt; --
<br>
&gt; You received this message because you are subscribed to
the Google
<br>
&gt; Groups "N8VEM" group.
<br>
&gt; To unsubscribe from this group and stop receiving emails
from it, send
<br>
&gt; an email to <a moz-do-not-send="true" href="javascript:"
target="_blank" gdf-obfuscated-mailto="8WUT4rwP_00J"
onmousedown="this.href='javascript:';return true;"
onclick="this.href='javascript:';return true;">n8vem+un...@<wbr>googlegroups.com</a>
<br>
&gt; &lt;mailto:<a moz-do-not-send="true" href="javascript:"
target="_blank" gdf-obfuscated-mailto="8WUT4rwP_00J"
onmousedown="this.href='javascript:';return true;"
onclick="this.href='javascript:';return true;">n8vem+un...@<wbr>googlegroups.com</a>&gt;.
<br>
&gt; To post to this group, send email to <a
moz-do-not-send="true" href="javascript:" target="_blank"
gdf-obfuscated-mailto="8WUT4rwP_00J"
onmousedown="this.href='javascript:';return true;"
onclick="this.href='javascript:';return true;">n8...-/***@public.gmane.org</a>
<br>
&gt; &lt;mailto:<a moz-do-not-send="true" href="javascript:"
target="_blank" gdf-obfuscated-mailto="8WUT4rwP_00J"
onmousedown="this.href='javascript:';return true;"
onclick="this.href='javascript:';return true;">n8...-/***@public.gmane.org</a><wbr>&gt;.
<br>
&gt; Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem" target="_blank"
onmousedown="this.href='http://groups.google.com/group/n8vem';return
true;"
onclick="this.href='http://groups.google.com/group/n8vem';return
true;">http://groups.google.com/<wbr>group/n8vem</a>.
<br>
&gt; For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout" target="_blank"
onmousedown="this.href='https://groups.google.com/d/optout';return
true;"
onclick="this.href='https://groups.google.com/d/optout';return
true;">https://groups.google.com/d/<wbr>optout</a>.
<br>
</blockquote>
</div>
-- <br>
You received this message because you are subscribed to the Google
Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving emails from it,
send an email to <a moz-do-not-send="true"
href="mailto:n8vem+unsubscribe-/***@public.gmane.org">n8vem+unsubscribe-/***@public.gmane.org</a>.<br>
To post to this group, send email to <a moz-do-not-send="true"
href="mailto:n8vem-/***@public.gmane.org">n8vem-/***@public.gmane.org</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<br>
</blockquote>
</body>
</html>

<p></p>

-- <br />
You received this message because you are subscribed to the Google Groups &quot;N8VEM&quot; group.<br />
To unsubscribe from this group and stop receiving emails from it, send an email to <a href="mailto:n8vem+unsubscribe-/***@public.gmane.org">n8vem+unsubscribe-/***@public.gmane.org</a>.<br />
To post to this group, send email to <a href="mailto:n8vem-/***@public.gmane.org">n8vem-/***@public.gmane.org</a>.<br />
Visit this group at <a href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br />
For more options, visit <a href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<br />
paul dennison
2014-10-10 16:01:58 UTC
Permalink
thank you very much seems that the librarys for old processors are been
removed from most of the programs and
comanys which is a pity
thank you
Post by John Coffman
To all,
Under "Builders Journals / John Coffman / Kicad" I have posted the
Kicad libraries that I use with both EEschema and PCBnew.
These libraries contain 68000 series parts up to 68030RC for
EEschema. The 68020 and 68030 components should be carefully checked;
I have not used either of these components as yet.
The main point of these libraries is *corrections* to the standard
Kicad libraries, which contain subtle errors. I always include these
libraries at the head of my component or module search paths when
using Kicad.
http://n8vem-sbc.pbworks.com/w/page/87229507/Kicad%20library%20info
--John
Post by Borut
Paul,
As Nikolay said, probably the easiest route is is to adapt an
existing library
for your target. I used newlib. The board dependant stuff is in
<newlib-src>/libgloss/m68k for m68k targets.Check the examples.
If you want to use gdb for debugging, you also need gdb stub.
http://huihoo.org/mirrors/pub/embed/document/debugger/ew_GDB_RSP.pdf
Best regards,
Bo/
Hi Paul,
Are you looking for specific functionality, or just generic m68k
https://gcc.gnu.org/onlinedocs/gccint/Libgcc.html
<https://gcc.gnu.org/onlinedocs/gccint/Libgcc.html>
Then there are the newlib and eglibc, all of them are free software.
Kind regards,
Nikolay
Post by paul dennison
anyone have library files for the 68000 processsor 68030 and
the 68060
Post by paul dennison
please just a long shot not very good at buiding my own
librarys dont
Post by paul dennison
matter which program they use many thanks
Post by Jim Strickland
I'd probably take a long look at how it's done in the miniVmac
emulator, for starters. I don't /think/ there was much custom
video
Post by paul dennison
Post by Jim Strickland
hardware in the original mac and fatmac. There were some awfully
clever things to share the CPU between the OS and video, none
of which
Post by paul dennison
Post by Jim Strickland
would really be appropriate if you were going to have vga
output. I
Post by paul dennison
Post by Jim Strickland
wonder if, on a vga refresh cycle, you could have a propeller
that
Post by paul dennison
Post by Jim Strickland
interrupts the 680xx and DMAs the pixel data out of the video
area of
Post by paul dennison
Post by Jim Strickland
ram, refactoring them as needed and generating the video
signal? How
Post by paul dennison
Post by Jim Strickland
you'd hide that from the operating system, I'm not at all
clear, but
Post by paul dennison
Post by Jim Strickland
again. I'd look and see how the emulator does it. I'm
speculating and
Post by paul dennison
Post by Jim Strickland
handwaving here. As I said, I won't have the chops to design
such a
Post by paul dennison
Post by Jim Strickland
thing for a long time, so I don't know how sensible the idea is.
On Wednesday, October 8, 2014 2:01:23 PM UTC-6, Edward Snider
That would be awesome. How would you implement video?
- Ed
--
You received this message because you are subscribed to the
Google
Post by paul dennison
Post by Jim Strickland
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from
it, send
<javascript:>
Post by paul dennison
Post by Jim Strickland
Visit this group at http://groups.google.com/group/n8vem
<http://groups.google.com/group/n8vem>.
Post by paul dennison
Post by Jim Strickland
For more options, visit https://groups.google.com/d/optout
<https://groups.google.com/d/optout>.
Post by paul dennison
--
You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from
it, send
<javascript:>
Post by paul dennison
Visit this group at http://groups.google.com/group/n8vem
<http://groups.google.com/group/n8vem>.
Post by paul dennison
For more options, visit https://groups.google.com/d/optout
<https://groups.google.com/d/optout>.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it,
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+unsubscribe-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
To post to this group, send email to n8vem-/JYPxA39Uh5TLH3MbocFF+G/***@public.gmane.org
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
6***@gmail.com
2014-11-14 21:19:28 UTC
Permalink
Post by nm156
Hi Guys!
Been a lurker on the group for some time now, this is my first post.  
Anyway, I've been wanting to do a 68030 system for many years and went through several false starts.  Finally I found enough time end energy to pull something together.
I suppose it doesn't meet the N8VEM design status quo of using free software (been a Proteus user for years), has programmable logic (16V8 GALS), and uses SMD for video and ethernet.
Attached is my design of the "Gryphon Oh-thirty" single board computer.   This is not yet built, I've just sent out for a run of 5 boards.
Specs are 
32M DRAM via 8422V Controller
512K SRAM (to help get it initially running)
512K FLASH
Parallel Port
2 Serial Ports
8x42 Keyboard/Mouse Controller
AY-3-8910 Sound
37C65 FDC 
IDE interface
RTC 72421 Clock
RTL8019AS Ethernet
S1D13505 Video (800x600) - (In a TQFP-128 to PGA-128 SMT Adapter)
Gerber files were rendered with http://www.zofzpcb.com/ for the image
I'm a hobbyist, not an engineer so I expect there are some problems lurking...  
Paul
PS 
Hi Andrew. Not sure if you remember me, but I picked up the ebay terminal from you in Dayton last year.  We had a nice discussion about the N8VEM project and I bought some boards (Still unpopulated and on my to-do list)
Are there any projects available to interface a 68020 into a 68000 socket ? I am new to 68 architecture, but am willing to give it a go if anybody can show me the light !?
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
yoda
2014-11-15 17:19:20 UTC
Permalink
I am not sure that is practical. The 68020 has 32 bit data paths where as the 68000 has 16 bit data path. Many more signals on the 020 than the 68k. What would be the purpose? It might be able to be done but it would be severely crippled. An SBC would be a better approach but why when the Gryphon exists. I think it is a great board and hopefully I will have it executing code within the week. I hope there will eventually be a V2 of it with some minor changes. I would like to see at least 256MB of memory. This seems to be the biggest hurdle here and in the S100 group which is closely affiliated with this group. We need a some what general memory controller that will support larger amounts of ram (more than sram can get us). On a V2 we would have to decide what the target audience would be as probably not all the peripherals on the Gryphon may be necessary which may allow the board to shrink some which would bring the cost down. Overall I am looking forward to bringing this board up. It looks like it may be a good target for TOS and MINT or even Linux.

Dave
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
nm156
2014-12-05 13:36:57 UTC
Permalink
The design was called LUCAS and a mating memory board was called FRANCES.
Both can be found here http://amiga.resource.cx/exp/lucas
There was one described in Amiga Transactor magazine. I'll try to find
my copy of the article.
Regards, Bob Devries
Dalby, QLD, Australia
Post by 6***@gmail.com
Post by nm156
Hi Guys!
Been a lurker on the group for some time now, this is my first post.
Anyway, I've been wanting to do a 68030 system for many years and went
through several false starts. Finally I found enough time end energy to
pull something together.
Post by 6***@gmail.com
Post by nm156
I suppose it doesn't meet the N8VEM design status quo of using free
software (been a Proteus user for years), has programmable logic (16V8
GALS), and uses SMD for video and ethernet.
Post by 6***@gmail.com
Post by nm156
Attached is my design of the "Gryphon Oh-thirty" single board computer.
This is not yet built, I've just sent out for a run of 5 boards.
Post by 6***@gmail.com
Post by nm156
Specs are
32M DRAM via 8422V Controller
512K SRAM (to help get it initially running)
512K FLASH
Parallel Port
2 Serial Ports
8x42 Keyboard/Mouse Controller
AY-3-8910 Sound
37C65 FDC
IDE interface
RTC 72421 Clock
RTL8019AS Ethernet
S1D13505 Video (800x600) - (In a TQFP-128 to PGA-128 SMT Adapter)
Gerber files were rendered with http://www.zofzpcb.com/ for the image
I'm a hobbyist, not an engineer so I expect there are some problems
lurking...
Post by 6***@gmail.com
Post by nm156
Paul
PS
Hi Andrew. Not sure if you remember me, but I picked up the ebay
terminal from you in Dayton last year. We had a nice discussion about the
N8VEM project and I bought some boards (Still unpopulated and on my to-do
list)
Post by 6***@gmail.com
Are there any projects available to interface a 68020 into a 68000
socket ? I am new to 68 architecture, but am willing to give it a go if
anybody can show me the light !?
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
nm156
2014-12-05 13:44:46 UTC
Permalink
Hello All,

Yoda and I have been working on the Gryphon project and there are quite a
few erratas that need corrected for even minimal operation. I'm currently
packing up to move, so I haven't been much help to Yoda and he's been doing
the heavy lifting. Have the folks that received a board made any progress?

Paul

PS What is your experience with Futurlec? I ordered parts on 10/31,
received an email that they shipped 11/19, and still have not arrived...
Post by nm156
Hi Guys!
Been a lurker on the group for some time now, this is my first post.
Anyway, I've been wanting to do a 68030 system for many years and went
through several false starts. Finally I found enough time end energy to
pull something together.
I suppose it doesn't meet the N8VEM design status quo of using free
software (been a Proteus user for years), has programmable logic (16V8
GALS), and uses SMD for video and ethernet.
Attached is my design of the "Gryphon Oh-thirty" single board computer.
This is not yet built, I've just sent out for a run of 5 boards.
Specs are
32M DRAM via 8422V Controller
512K SRAM (to help get it initially running)
512K FLASH
Parallel Port
2 Serial Ports
8x42 Keyboard/Mouse Controller
AY-3-8910 Sound
37C65 FDC
IDE interface
RTC 72421 Clock
RTL8019AS Ethernet
S1D13505 Video (800x600) - (In a TQFP-128 to PGA-128 SMT Adapter)
Gerber files were rendered with http://www.zofzpcb.com/ for the image
I'm a hobbyist, not an engineer so I expect there are some problems
lurking...
Paul
PS
Hi Andrew. Not sure if you remember me, but I picked up the ebay terminal
from you in Dayton last year. We had a nice discussion about the N8VEM
project and I bought some boards (Still unpopulated and on my to-do list)
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
John Coffman
2014-12-05 20:40:36 UTC
Permalink
<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN">
<html>
<head>
<meta content="text/html; charset=ISO-8859-1"
http-equiv="Content-Type">
</head>
<body bgcolor="#ffffff" text="#000000">
On 12/05/2014 05:44 AM, nm156 wrote:
<blockquote
cite="mid:1b39486e-3ab2-47bb-bdd2-***@googlegroups.com"
type="cite">
<div>PS What is your experience with Futurlec? I ordered parts on
10/31, received an email that they shipped 11/19, and still have
not arrived...</div>
</blockquote>
<br>
Futurlec is an interesting operation.&nbsp; My orders have been processed
in the far east, but the most recent shipment was from Germany.&nbsp; I
would say that I received faster service than you are experiencing.<br>
<br>
For some oddball IC's, they have a wide inventory, and their pricing
&amp; s/h charges seem reasonable.<br>
<br>
--John<br>
<br>
<br>
</body>
</html>

<p></p>

-- <br />
You received this message because you are subscribed to the Google Groups &quot;N8VEM&quot; group.<br />
To unsubscribe from this group and stop receiving emails from it, send an email to <a href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br />
To post to this group, send email to <a href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br />
Visit this group at <a href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br />
For more options, visit <a href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<br />
nm156
2014-12-15 23:05:51 UTC
Permalink
Post by nm156
PS What is your experience with Futurlec? I ordered parts on 10/31,
received an email that they shipped 11/19, and still have not arrived...
Futurlec is an interesting operation. My orders have been processed in
the far east, but the most recent shipment was from Germany. I would say
that I received faster service than you are experiencing.
For some oddball IC's, they have a wide inventory, and their pricing & s/h
charges seem reasonable.
--John
Yes, an interesting operation indeed. I finally received my parts (so I
thought) postmarked from Germany. I was shorted 5 components that were
clearly circled on the the shipping doc. (The reminder had lines through
them) So far they have been unresponsive to emails. I'll have to wait and
see the outcome.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2014-12-06 01:52:16 UTC
Permalink
Hi Paul!

I have a Gryphon PCB, but I’ve been waiting to see what I need to correct as I don’t have any hardware nor software experience with the 680x0 processor line. I’d really like to get it going even if at only a minimal system level. Can I follow your pictures of what you have done on your web site or should I wait further? Your project is an inspiration. Thanks for designing it and including me in on the ground floor.



Kip Koon

***@sc.rr.com

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of nm156
Sent: Friday, December 05, 2014 8:45 AM
To: ***@googlegroups.com
Subject: [N8VEM: 19024] Re: An MC68030 SBC Design



Hello All,



Yoda and I have been working on the Gryphon project and there are quite a few erratas that need corrected for even minimal operation. I'm currently packing up to move, so I haven't been much help to Yoda and he's been doing the heavy lifting. Have the folks that received a board made any progress?



Paul



PS What is your experience with Futurlec? I ordered parts on 10/31, received an email that they shipped 11/19, and still have not arrived...



On Monday, October 6, 2014 6:19:10 PM UTC-4, nm156 wrote:

Hi Guys!



Been a lurker on the group for some time now, this is my first post.



Anyway, I've been wanting to do a 68030 system for many years and went through several false starts. Finally I found enough time end energy to pull something together.



I suppose it doesn't meet the N8VEM design status quo of using free software (been a Proteus user for years), has programmable logic (16V8 GALS), and uses SMD for video and ethernet.





Attached is my design of the "Gryphon Oh-thirty" single board computer. This is not yet built, I've just sent out for a run of 5 boards.



Specs are



MC68030 @ 25MHz

MC68882 @ 25MHz

32M DRAM via 8422V Controller

512K SRAM (to help get it initially running)

512K FLASH

Parallel Port

2 Serial Ports

8x42 Keyboard/Mouse Controller

AY-3-8910 Sound

37C65 FDC

IDE interface

RTC 72421 Clock

RTL8019AS Ethernet

S1D13505 Video (800x600) - (In a TQFP-128 to PGA-128 SMT Adapter)





Gerber files were rendered with http://www.zofzpcb.com/ for the image





I'm a hobbyist, not an engineer so I expect there are some problems lurking...



Paul





PS



Hi Andrew. Not sure if you remember me, but I picked up the ebay terminal from you in Dayton last year. We had a nice discussion about the N8VEM project and I bought some boards (Still unpopulated and on my to-do list)
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
yoda
2014-12-07 22:05:03 UTC
Permalink
Post by Kip Koon
Hi Paul!
I have a Gryphon PCB, but I’ve been waiting to see what I need to correct
as I don’t have any hardware nor software experience with the 680x0
processor line. I’d really like to get it going even if at only a minimal
system level. Can I follow your pictures of what you have done on your web
site or should I wait further? Your project is an inspiration. Thanks for
designing it and including me in on the ground floor.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
I though the whole idea was to help in bring up of the board? Oh well. I
have got a lot done but am having issues with getting the 68681 to talk to
the world. According to my logic analyzer I can see data being transferred
across the bus to it and I see one character going to the transmit buffer
and then reading the status register shows the transmit buffer as being
full so from 030 side of the world it looks like it is working. It acts
like the Baud Rate Generator is not working. I am going to put a scope on
it next and see if the crystal is working. Has anyone used the 68681 in a
circuit? I have read in one place there needs to be a shunt resistor from
50K-150K across it which there is not and have not seen in any sample
circuits by googling. Another place I saw 10-20M resistor so this is
confusing. Another place I saw that you must wait 1-3 BRG clocks before
sending another command to command register which I am probably exceeding
as the 68030 is running at 25MHz and the 68681 has a 3.684 MHz crystal.

BTW there are a few patches, one pin bent out and one cut trace so far -
this is beyond the patches that Paul posted. I will post my errata as soon
as I can get the 68681 to communicate as this is the first hurdle that
needs to be accomplished to go further. Can't do much with out a serial
port though I may start using the parallel port (68230) as a way of
debugging things if I can't get this remedied soon.

Dave
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
'Andrew Lynch' via N8VEM
2014-12-08 21:06:09 UTC
Permalink
Hi

Pauls 68030 SBC is an excellent project and very interesting.

Have you considered migrating to KiCAD so that others in the project so that other hobbyists can help with the build and test, making patches, etc?


Regardless please post the updated EDA files, schematics, Gerbers, etc even if you keep Protel as the EDA


I wonder if the board would fit on the VME form factor PCB so it would fit in the 3U chassis. Add an ECB connector so it can leverage existing boards & backplanes?

Not second guessing the design -- just curious as to long term integration and support of the PCB

Thanks

Andrew Lynch


________________________________
From: yoda <***@r2d2.org>
To: ***@googlegroups.com
Sent: Sunday, December 7, 2014 5:05 PM
Subject: Re: [N8VEM: 19044] Re: An MC68030 SBC Design





On Friday, December 5, 2014 7:52:38 PM UTC-6, computerdoc wrote:
Hi Paul!
Post by Kip Koon
I have a Gryphon PCB, but I’ve been waiting to see what I need to correct as I don’t have any hardware nor software experience with the 680x0 processor line. I’d really like to get it going even if at only a minimal system level. Can I follow your pictures of what you have done on your web site or should I wait further? Your project is an inspiration. Thanks for designing it and including me in on the ground floor.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
I though the whole idea was to help in bring up of the board? Oh well. I have got a lot done but am having issues with getting the 68681 to talk to the world. According to my logic analyzer I can see data being transferred across the bus to it and I see one character going to the transmit buffer and then reading the status register shows the transmit buffer as being full so from 030 side of the world it looks like it is working. It acts like the Baud Rate Generator is not working. I am going to put a scope on it next and see if the crystal is working. Has anyone used the 68681 in a circuit? I have read in one place there needs to be a shunt resistor from 50K-150K across it which there is not and have not seen in any sample circuits by googling. Another place I saw 10-20M resistor so this is confusing. Another place I saw that you must wait 1-3 BRG clocks before sending another command to command register which I am probably exceeding as the
68030 is running at 25MHz and the 68681 has a 3.684 MHz crystal.

BTW there are a few patches, one pin bent out and one cut trace so far - this is beyond the patches that Paul posted. I will post my errata as soon as I can get the 68681 to communicate as this is the first hurdle that needs to be accomplished to go further. Can't do much with out a serial port though I may start using the parallel port (68230) as a way of debugging things if I can't get this remedied soon.

Dave
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
yoda
2014-12-08 22:25:19 UTC
Permalink
Hi Andrew!!

I believe Paul has posted everything to the wiki and even the first set of
errata. See here:
http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030

I must say the design is pretty straight forward - a few little errors but
to be expected in a complex design like this - it is amazingly simple from
where we started with the 68360 that was going to be coupled to a 68040.

Dave
Post by 'Andrew Lynch' via N8VEM
Hi
Pauls 68030 SBC is an excellent project and very interesting.
Have you considered migrating to KiCAD so that others in the project so
that other hobbyists can help with the build and test, making patches, etc?
Regardless please post the updated EDA files, schematics, Gerbers, etc
even if you keep Protel as the EDA
I wonder if the board would fit on the VME form factor PCB so it would fit
in the 3U chassis. Add an ECB connector so it can leverage existing boards
& backplanes?
Not second guessing the design -- just curious as to long term integration
and support of the PCB
Thanks
Andrew Lynch
*Sent:* Sunday, December 7, 2014 5:05 PM
*Subject:* Re: [N8VEM: 19044] Re: An MC68030 SBC Design
Hi Paul!
I have a Gryphon PCB, but I’ve been waiting to see what I need to correct
as I don’t have any hardware nor software experience with the 680x0
processor line. I’d really like to get it going even if at only a minimal
system level. Can I follow your pictures of what you have done on your web
site or should I wait further? Your project is an inspiration. Thanks for
designing it and including me in on the ground floor.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
I though the whole idea was to help in bring up of the board? Oh well. I
have got a lot done but am having issues with getting the 68681 to talk to
the world. According to my logic analyzer I can see data being transferred
across the bus to it and I see one character going to the transmit buffer
and then reading the status register shows the transmit buffer as being
full so from 030 side of the world it looks like it is working. It acts
like the Baud Rate Generator is not working. I am going to put a scope on
it next and see if the crystal is working. Has anyone used the 68681 in a
circuit? I have read in one place there needs to be a shunt resistor from
50K-150K across it which there is not and have not seen in any sample
circuits by googling. Another place I saw 10-20M resistor so this is
confusing. Another place I saw that you must wait 1-3 BRG clocks before
sending another command to command register which I am probably exceeding
as the 68030 is running at 25MHz and the 68681 has a 3.684 MHz crystal.
BTW there are a few patches, one pin bent out and one cut trace so far -
this is beyond the patches that Paul posted. I will post my errata as soon
as I can get the 68681 to communicate as this is the first hurdle that
needs to be accomplished to go further. Can't do much with out a serial
port though I may start using the parallel port (68230) as a way of
debugging things if I can't get this remedied soon.
Dave
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
G. Beat
2014-12-09 15:58:32 UTC
Permalink
I have a 68030, so following with interest.

gb
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Andy Smout
2015-04-19 08:27:22 UTC
Permalink
futurlec are great on price and reliable but very slow to deliver for
standard shipping. in Aus, getting an order from futurlec Aus can easily
take 3 weeks. getting an order from futurlec taiwan (?) was about the same
last time i used them. cheers, andy
Post by nm156
Hello All,
Yoda and I have been working on the Gryphon project and there are quite a
few erratas that need corrected for even minimal operation. I'm currently
packing up to move, so I haven't been much help to Yoda and he's been doing
the heavy lifting. Have the folks that received a board made any progress?
Paul
PS What is your experience with Futurlec? I ordered parts on 10/31,
received an email that they shipped 11/19, and still have not arrived...
Post by nm156
Hi Guys!
Been a lurker on the group for some time now, this is my first post.
Anyway, I've been wanting to do a 68030 system for many years and went
through several false starts. Finally I found enough time end energy to
pull something together.
I suppose it doesn't meet the N8VEM design status quo of using free
software (been a Proteus user for years), has programmable logic (16V8
GALS), and uses SMD for video and ethernet.
Attached is my design of the "Gryphon Oh-thirty" single board computer.
This is not yet built, I've just sent out for a run of 5 boards.
Specs are
32M DRAM via 8422V Controller
512K SRAM (to help get it initially running)
512K FLASH
Parallel Port
2 Serial Ports
8x42 Keyboard/Mouse Controller
AY-3-8910 Sound
37C65 FDC
IDE interface
RTC 72421 Clock
RTL8019AS Ethernet
S1D13505 Video (800x600) - (In a TQFP-128 to PGA-128 SMT Adapter)
Gerber files were rendered with http://www.zofzpcb.com/ for the image
I'm a hobbyist, not an engineer so I expect there are some problems
lurking...
Paul
PS
Hi Andrew. Not sure if you remember me, but I picked up the ebay terminal
from you in Dayton last year. We had a nice discussion about the N8VEM
project and I bought some boards (Still unpopulated and on my to-do list)
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
yoda
2014-12-15 17:36:24 UTC
Permalink
The Gryphon roars!!!

I have now successfully gotten the board to send and receive characters on
the mc68681 chip and the SRAM passes basic memory testing so now I can have
a stack and variables and can start using C for programming.

There are a few patches that are necessary for things to work. I will be
posting them to the wiki in the next few days. I want to redo one of them
differently as the way I did it works but may cause problems later when I
turn on caching. I have CDIS* jumper'd at the moment, because John Coffman
reminded me that all I/O must be cache inhibited. Right now CIN* is pulled
HI - I think I can remedy that with another patch (enough logic still left
in the GALs) so I need to look at that one. I quickly tried the DRAM last
night but it failed so I will have to pull out Mr LogicAnalyzer and see
what is going on.

Just thought I would give a quick update - the problem I had with the
MC68681 turned out to be that the 68030 can write commands to it too fast
and I had to put some NOPs in the initialization section only. I found
that hidden in one of the data sheets - not mentioned in any of the
Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
'Andrew Lynch' via N8VEM
2014-12-29 21:03:51 UTC
Permalink
Hi Dave

What’s the latest on the Gryphon build and test?



Please post photos and updates on the wiki



Thanks!

Andrew Lynch



From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, December 15, 2014 12:36 PM
To: ***@googlegroups.com
Subject: [N8VEM: 19098] Re: An MC68030 SBC Design



The Gryphon roars!!!



I have now successfully gotten the board to send and receive characters on the mc68681 chip and the SRAM passes basic memory testing so now I can have a stack and variables and can start using C for programming.



There are a few patches that are necessary for things to work. I will be posting them to the wiki in the next few days. I want to redo one of them differently as the way I did it works but may cause problems later when I turn on caching. I have CDIS* jumper'd at the moment, because John Coffman reminded me that all I/O must be cache inhibited. Right now CIN* is pulled HI - I think I can remedy that with another patch (enough logic still left in the GALs) so I need to look at that one. I quickly tried the DRAM last night but it failed so I will have to pull out Mr LogicAnalyzer and see what is going on.



Just thought I would give a quick update - the problem I had with the MC68681 turned out to be that the 68030 can write commands to it too fast and I had to put some NOPs in the initialization section only. I found that hidden in one of the data sheets - not mentioned in any of the Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
yoda
2014-12-29 21:36:44 UTC
Permalink
Hi Andrew!!!

Well I have serial and Flash and SRAM working so far. I have about 7 total
errata now counting the ones Paul identified. I want to try to fix one of
them different. After I verify that one I will be putting up an errata doc
as to what traces need to be cut (3 so far I think) and patch wires. The
Dram is giving me fits - I think the logic equations are in correct as I
read the National Semi Plan example. If anyone is familiar with that
notation and can check it that would be appreciated. I am also working on
getting flow control working on serial port - should have that knocked out
this week. I am still debating how to generate CIIN for I/O - I am
running right now with CDIS* jumpered so there is no caching at the moment.

I hope to have some things posted by New Years - depends on how much I
watch football and celebrate. How are you doing?

Dave
Post by Borut
Hi Dave
What’s the latest on the Gryphon build and test?
Please post photos and updates on the wiki
Thanks!
Andrew Lynch
*Sent:* Monday, December 15, 2014 12:36 PM
*Subject:* [N8VEM: 19098] Re: An MC68030 SBC Design
The Gryphon roars!!!
I have now successfully gotten the board to send and receive characters on
the mc68681 chip and the SRAM passes basic memory testing so now I can have
a stack and variables and can start using C for programming.
There are a few patches that are necessary for things to work. I will be
posting them to the wiki in the next few days. I want to redo one of them
differently as the way I did it works but may cause problems later when I
turn on caching. I have CDIS* jumper'd at the moment, because John Coffman
reminded me that all I/O must be cache inhibited. Right now CIN* is pulled
HI - I think I can remedy that with another patch (enough logic still left
in the GALs) so I need to look at that one. I quickly tried the DRAM last
night but it failed so I will have to pull out Mr LogicAnalyzer and see
what is going on.
Just thought I would give a quick update - the problem I had with the
MC68681 turned out to be that the 68030 can write commands to it too fast
and I had to put some NOPs in the initialization section only. I found
that hidden in one of the data sheets - not mentioned in any of the
Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
'Andrew Lynch' via N8VEM
2014-12-30 17:34:10 UTC
Permalink
Hi Dave



I’m doing well and am at home enjoying the holidays and resting up. Trying to catch up on some email and check status of various projects during a some down time. This year was a busy one for me and spent a lot of time on the road. Not much activity on the N8VEM projects of late though.



I think the Gryphon 68030 project is most interesting now that I have a bit of time to contemplate the various home brew computer projects. What are your plans for a PCB respin once you get your prototype built and tested? Is Paul planning to make a new board? Do you think the DRAM circuitry can be fixed?

What are the dimensions of the Gryphon PCB? It looks larger than the 60 square inches that Advanced Circuits allows for their prototype boards. However that’s only an inconvenience not a show stopper since you can order PCBs from PCBcart.com of almost any size. They are just more expensive to make prototypes if they are large PCBs.



I am hoping to see how the video and other IO work out on the Gryphon. Good luck!

Thanks


Andrew Lynch





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, December 29, 2014 4:37 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19164] Re: An MC68030 SBC Design



Hi Andrew!!!



Well I have serial and Flash and SRAM working so far. I have about 7 total errata now counting the ones Paul identified. I want to try to fix one of them different. After I verify that one I will be putting up an errata doc as to what traces need to be cut (3 so far I think) and patch wires. The Dram is giving me fits - I think the logic equations are in correct as I read the National Semi Plan example. If anyone is familiar with that notation and can check it that would be appreciated. I am also working on getting flow control working on serial port - should have that knocked out this week. I am still debating how to generate CIIN for I/O - I am running right now with CDIS* jumpered so there is no caching at the moment.



I hope to have some things posted by New Years - depends on how much I watch football and celebrate. How are you doing?



Dave

On Monday, December 29, 2014 3:03:52 PM UTC-6, lynchaj wrote:

Hi Dave

What’s the latest on the Gryphon build and test?



Please post photos and updates on the wiki



Thanks!

Andrew Lynch



From: ***@googlegroups.com <javascript:> [mailto:***@googlegroups.com <javascript:> ] On Behalf Of yoda
Sent: Monday, December 15, 2014 12:36 PM
To: ***@googlegroups.com <javascript:>
Subject: [N8VEM: 19098] Re: An MC68030 SBC Design



The Gryphon roars!!!



I have now successfully gotten the board to send and receive characters on the mc68681 chip and the SRAM passes basic memory testing so now I can have a stack and variables and can start using C for programming.



There are a few patches that are necessary for things to work. I will be posting them to the wiki in the next few days. I want to redo one of them differently as the way I did it works but may cause problems later when I turn on caching. I have CDIS* jumper'd at the moment, because John Coffman reminded me that all I/O must be cache inhibited. Right now CIN* is pulled HI - I think I can remedy that with another patch (enough logic still left in the GALs) so I need to look at that one. I quickly tried the DRAM last night but it failed so I will have to pull out Mr LogicAnalyzer and see what is going on.



Just thought I would give a quick update - the problem I had with the MC68681 turned out to be that the 68030 can write commands to it too fast and I had to put some NOPs in the initialization section only. I found that hidden in one of the data sheets - not mentioned in any of the Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com <javascript:> .
To post to this group, send email to ***@googlegroups.com <javascript:> .
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
nm156
2015-03-21 00:17:45 UTC
Permalink
Hello all,

I finally moved and got settled in, and have had some time recently to jump
back on this project. Dave (Yoda) and I have been in communication working
behind the scenes.

I do plan on a re-spin once all the the bugs and design errors are worked
out. The board dimensions are 8.5x11 inches. I used
http://www.xuripcb.com/ for the prototype boards and cost was about $50 ea
after shipping qty 5. (That included ENIG and blue soldermask which could
dropped to reduce cost on the next run). I just ran a quick quote and I
think I can get the boards down to about $20-25 each (HASL, green
soldermask) shipped when ordered in quantity. I think the quality of the
Rev 1 boards was very good from that company.

I have also used http://www.dirtypcbs.com/ for some smaller boards and was
happy their quality also.

Yoda sent me an errata sheet that I have already incorporated into what
will eventually become Rev.1.1. The updated erratas will be posted to the
wiki soon http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030


Andrew - I sent an email to your yahoo address.


Paul



<Loading Image...>

<Loading Image...>
Post by Borut
Hi Dave
I’m doing well and am at home enjoying the holidays and resting up.
Trying to catch up on some email and check status of various projects
during a some down time. This year was a busy one for me and spent a lot
of time on the road. Not much activity on the N8VEM projects of late
though.
I think the Gryphon 68030 project is most interesting now that I have a
bit of time to contemplate the various home brew computer projects. What
are your plans for a PCB respin once you get your prototype built and
tested? Is Paul planning to make a new board? Do you think the DRAM
circuitry can be fixed?
What are the dimensions of the Gryphon PCB? It looks larger than the 60
square inches that Advanced Circuits allows for their prototype boards.
However that’s only an inconvenience not a show stopper since you can order
PCBs from PCBcart.com of almost any size. They are just more expensive to
make prototypes if they are large PCBs.
I am hoping to see how the video and other IO work out on the Gryphon.
Good luck!
Thanks
Andrew Lynch
*Sent:* Monday, December 29, 2014 4:37 PM
*Subject:* Re: [N8VEM: 19164] Re: An MC68030 SBC Design
Hi Andrew!!!
Well I have serial and Flash and SRAM working so far. I have about 7
total errata now counting the ones Paul identified. I want to try to fix
one of them different. After I verify that one I will be putting up an
errata doc as to what traces need to be cut (3 so far I think) and patch
wires. The Dram is giving me fits - I think the logic equations are in
correct as I read the National Semi Plan example. If anyone is familiar
with that notation and can check it that would be appreciated. I am also
working on getting flow control working on serial port - should have that
knocked out this week. I am still debating how to generate CIIN for I/O -
I am running right now with CDIS* jumpered so there is no caching at the
moment.
I hope to have some things posted by New Years - depends on how much I
watch football and celebrate. How are you doing?
Dave
Hi Dave
What’s the latest on the Gryphon build and test?
Please post photos and updates on the wiki
Thanks!
Andrew Lynch
Of *yoda
*Sent:* Monday, December 15, 2014 12:36 PM
*Subject:* [N8VEM: 19098] Re: An MC68030 SBC Design
The Gryphon roars!!!
I have now successfully gotten the board to send and receive characters on
the mc68681 chip and the SRAM passes basic memory testing so now I can have
a stack and variables and can start using C for programming.
There are a few patches that are necessary for things to work. I will be
posting them to the wiki in the next few days. I want to redo one of them
differently as the way I did it works but may cause problems later when I
turn on caching. I have CDIS* jumper'd at the moment, because John Coffman
reminded me that all I/O must be cache inhibited. Right now CIN* is pulled
HI - I think I can remedy that with another patch (enough logic still left
in the GALs) so I need to look at that one. I quickly tried the DRAM last
night but it failed so I will have to pull out Mr LogicAnalyzer and see
what is going on.
Just thought I would give a quick update - the problem I had with the
MC68681 turned out to be that the 68030 can write commands to it too fast
and I had to put some NOPs in the initialization section only. I found
that hidden in one of the data sheets - not mentioned in any of the
Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Fabio Battaglia
2015-03-21 06:59:53 UTC
Permalink
Hi!
If you do a respin, I'd be interested in getting 3x PCBs.

Thanks,
Fabio B.
Post by nm156
Hello all,
I finally moved and got settled in, and have had some time recently to
jump back on this project. Dave (Yoda) and I have been in communication
working behind the scenes.
I do plan on a re-spin once all the the bugs and design errors are worked
out. The board dimensions are 8.5x11 inches. I used
http://www.xuripcb.com/ for the prototype boards and cost was about $50
ea after shipping qty 5. (That included ENIG and blue soldermask which
could dropped to reduce cost on the next run). I just ran a quick quote
and I think I can get the boards down to about $20-25 each (HASL, green
soldermask) shipped when ordered in quantity. I think the quality of the
Rev 1 boards was very good from that company.
I have also used http://www.dirtypcbs.com/ for some smaller boards and
was happy their quality also.
Yoda sent me an errata sheet that I have already incorporated into what
will eventually become Rev.1.1. The updated erratas will be posted to the
wiki soon http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030
Andrew - I sent an email to your yahoo address.
Paul
<https://lh3.googleusercontent.com/-YbR_g4jd6co/VQyz9SJpdGI/AAAAAAAAAC4/pdcA1_yvrUg/s1600/GryphonTop.jpg>
<https://lh4.googleusercontent.com/-cqA21KyLNsw/VQy0BFRjRBI/AAAAAAAAADA/srkyrhwuvv4/s1600/GryphonBot.jpg>
Post by Borut
Hi Dave
I'm doing well and am at home enjoying the holidays and resting up.
Trying to catch up on some email and check status of various projects
during a some down time. This year was a busy one for me and spent a lot
of time on the road. Not much activity on the N8VEM projects of late
though.
I think the Gryphon 68030 project is most interesting now that I have a
bit of time to contemplate the various home brew computer projects. What
are your plans for a PCB respin once you get your prototype built and
tested? Is Paul planning to make a new board? Do you think the DRAM
circuitry can be fixed?
What are the dimensions of the Gryphon PCB? It looks larger than the 60
square inches that Advanced Circuits allows for their prototype boards.
However that's only an inconvenience not a show stopper since you can order
PCBs from PCBcart.com of almost any size. They are just more expensive to
make prototypes if they are large PCBs.
I am hoping to see how the video and other IO work out on the Gryphon.
Good luck!
Thanks
Andrew Lynch
Behalf Of *yoda
*Sent:* Monday, December 29, 2014 4:37 PM
*Subject:* Re: [N8VEM: 19164] Re: An MC68030 SBC Design
Hi Andrew!!!
Well I have serial and Flash and SRAM working so far. I have about 7
total errata now counting the ones Paul identified. I want to try to fix
one of them different. After I verify that one I will be putting up an
errata doc as to what traces need to be cut (3 so far I think) and patch
wires. The Dram is giving me fits - I think the logic equations are in
correct as I read the National Semi Plan example. If anyone is familiar
with that notation and can check it that would be appreciated. I am also
working on getting flow control working on serial port - should have that
knocked out this week. I am still debating how to generate CIIN for I/O -
I am running right now with CDIS* jumpered so there is no caching at the
moment.
I hope to have some things posted by New Years - depends on how much I
watch football and celebrate. How are you doing?
Dave
Hi Dave
What's the latest on the Gryphon build and test?
Please post photos and updates on the wiki
Thanks!
Andrew Lynch
Behalf Of *yoda
*Sent:* Monday, December 15, 2014 12:36 PM
*Subject:* [N8VEM: 19098] Re: An MC68030 SBC Design
The Gryphon roars!!!
I have now successfully gotten the board to send and receive characters
on the mc68681 chip and the SRAM passes basic memory testing so now I can
have a stack and variables and can start using C for programming.
There are a few patches that are necessary for things to work. I will be
posting them to the wiki in the next few days. I want to redo one of them
differently as the way I did it works but may cause problems later when I
turn on caching. I have CDIS* jumper'd at the moment, because John Coffman
reminded me that all I/O must be cache inhibited. Right now CIN* is pulled
HI - I think I can remedy that with another patch (enough logic still left
in the GALs) so I need to look at that one. I quickly tried the DRAM last
night but it failed so I will have to pull out Mr LogicAnalyzer and see
what is going on.
Just thought I would give a quick update - the problem I had with the
MC68681 turned out to be that the 68030 can write commands to it too fast
and I had to put some NOPs in the initialization section only. I found
that hidden in one of the data sheets - not mentioned in any of the
Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
J. Alexander Jacocks
2015-03-21 16:16:21 UTC
Permalink
And I'd be in for one, as well. Great project, and I do love me some
68000-series machines.

- Alex
Post by Fabio Battaglia
Hi!
If you do a respin, I'd be interested in getting 3x PCBs.
Thanks,
Fabio B.
Post by nm156
Hello all,
I finally moved and got settled in, and have had some time recently to
jump back on this project. Dave (Yoda) and I have been in communication
working behind the scenes.
I do plan on a re-spin once all the the bugs and design errors are worked
out. The board dimensions are 8.5x11 inches. I used
http://www.xuripcb.com/ for the prototype boards and cost was about $50
ea after shipping qty 5. (That included ENIG and blue soldermask which
could dropped to reduce cost on the next run). I just ran a quick quote
and I think I can get the boards down to about $20-25 each (HASL, green
soldermask) shipped when ordered in quantity. I think the quality of the
Rev 1 boards was very good from that company.
I have also used http://www.dirtypcbs.com/ for some smaller boards and
was happy their quality also.
Yoda sent me an errata sheet that I have already incorporated into what
will eventually become Rev.1.1. The updated erratas will be posted to the
wiki soon http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030
Andrew - I sent an email to your yahoo address.
Paul
<https://lh3.googleusercontent.com/-YbR_g4jd6co/VQyz9SJpdGI/AAAAAAAAAC4/pdcA1_yvrUg/s1600/GryphonTop.jpg>
<https://lh4.googleusercontent.com/-cqA21KyLNsw/VQy0BFRjRBI/AAAAAAAAADA/srkyrhwuvv4/s1600/GryphonBot.jpg>
Post by Borut
Hi Dave
I’m doing well and am at home enjoying the holidays and resting up.
Trying to catch up on some email and check status of various projects
during a some down time. This year was a busy one for me and spent a lot
of time on the road. Not much activity on the N8VEM projects of late
though.
I think the Gryphon 68030 project is most interesting now that I have a
bit of time to contemplate the various home brew computer projects. What
are your plans for a PCB respin once you get your prototype built and
tested? Is Paul planning to make a new board? Do you think the DRAM
circuitry can be fixed?
What are the dimensions of the Gryphon PCB? It looks larger than the 60
square inches that Advanced Circuits allows for their prototype boards.
However that’s only an inconvenience not a show stopper since you can order
PCBs from PCBcart.com of almost any size. They are just more expensive to
make prototypes if they are large PCBs.
I am hoping to see how the video and other IO work out on the Gryphon.
Good luck!
Thanks
Andrew Lynch
Behalf Of *yoda
*Sent:* Monday, December 29, 2014 4:37 PM
*Subject:* Re: [N8VEM: 19164] Re: An MC68030 SBC Design
Hi Andrew!!!
Well I have serial and Flash and SRAM working so far. I have about 7
total errata now counting the ones Paul identified. I want to try to fix
one of them different. After I verify that one I will be putting up an
errata doc as to what traces need to be cut (3 so far I think) and patch
wires. The Dram is giving me fits - I think the logic equations are in
correct as I read the National Semi Plan example. If anyone is familiar
with that notation and can check it that would be appreciated. I am also
working on getting flow control working on serial port - should have that
knocked out this week. I am still debating how to generate CIIN for I/O -
I am running right now with CDIS* jumpered so there is no caching at the
moment.
I hope to have some things posted by New Years - depends on how much I
watch football and celebrate. How are you doing?
Dave
Hi Dave
What’s the latest on the Gryphon build and test?
Please post photos and updates on the wiki
Thanks!
Andrew Lynch
Behalf Of *yoda
*Sent:* Monday, December 15, 2014 12:36 PM
*Subject:* [N8VEM: 19098] Re: An MC68030 SBC Design
The Gryphon roars!!!
I have now successfully gotten the board to send and receive characters
on the mc68681 chip and the SRAM passes basic memory testing so now I can
have a stack and variables and can start using C for programming.
There are a few patches that are necessary for things to work. I will
be posting them to the wiki in the next few days. I want to redo one of
them differently as the way I did it works but may cause problems later
when I turn on caching. I have CDIS* jumper'd at the moment, because John
Coffman reminded me that all I/O must be cache inhibited. Right now CIN*
is pulled HI - I think I can remedy that with another patch (enough logic
still left in the GALs) so I need to look at that one. I quickly tried the
DRAM last night but it failed so I will have to pull out Mr LogicAnalyzer
and see what is going on.
Just thought I would give a quick update - the problem I had with the
MC68681 turned out to be that the 68030 can write commands to it too fast
and I had to put some NOPs in the initialization section only. I found
that hidden in one of the data sheets - not mentioned in any of the
Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2015-03-22 08:48:25 UTC
Permalink
Hi Paul,

I’m so glad you are finally moved in and all setup up again. Do you have all the erratas for the 1st Gryphon PCB in the same one place on the N8VEM web page? I’d definitely like to finish building my Gryphon, but the thought of having a Gryphon built with no extra wires on the back of the PCB sounds wonderful! :) I didn’t know if the adhesive on the back of the Scotch tape I would use to hold the wires in place would short out circuits, but after reading your latest email and seeing the wires routed so nicely in the photos, it looks like Scotch tape works just fine! Thank you for all the hard work you are doing on this project. I’m looking forward to getting this thing running!



Kip Koon

***@sc.rr.com

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of nm156
Sent: Friday, March 20, 2015 8:18 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19385] Re: An MC68030 SBC Design



Hello all,



I finally moved and got settled in, and have had some time recently to jump back on this project. Dave (Yoda) and I have been in communication working behind the scenes.



I do plan on a re-spin once all the the bugs and design errors are worked out. The board dimensions are 8.5x11 inches. I used http://www.xuripcb.com/ for the prototype boards and cost was about $50 ea after shipping qty 5. (That included ENIG and blue soldermask which could dropped to reduce cost on the next run). I just ran a quick quote and I think I can get the boards down to about $20-25 each (HASL, green soldermask) shipped when ordered in quantity. I think the quality of the Rev 1 boards was very good from that company.



I have also used http://www.dirtypcbs.com/ for some smaller boards and was happy their quality also.



Yoda sent me an errata sheet that I have already incorporated into what will eventually become Rev.1.1. The updated erratas will be posted to the wiki soon http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030





Andrew - I sent an email to your yahoo address.



Paul







<https://lh3.googleusercontent.com/-YbR_g4jd6co/VQyz9SJpdGI/AAAAAAAAAC4/pdcA1_yvrUg/s1600/GryphonTop.jpg>

<https://lh4.googleusercontent.com/-cqA21KyLNsw/VQy0BFRjRBI/AAAAAAAAADA/srkyrhwuvv4/s1600/GryphonBot.jpg>








On Tuesday, December 30, 2014 at 12:34:09 PM UTC-5, lynchaj wrote:

Hi Dave



I’m doing well and am at home enjoying the holidays and resting up. Trying to catch up on some email and check status of various projects during a some down time. This year was a busy one for me and spent a lot of time on the road. Not much activity on the N8VEM projects of late though.



I think the Gryphon 68030 project is most interesting now that I have a bit of time to contemplate the various home brew computer projects. What are your plans for a PCB respin once you get your prototype built and tested? Is Paul planning to make a new board? Do you think the DRAM circuitry can be fixed?

What are the dimensions of the Gryphon PCB? It looks larger than the 60 square inches that Advanced Circuits allows for their prototype boards. However that’s only an inconvenience not a show stopper since you can order PCBs from PCBcart.com of almost any size. They are just more expensive to make prototypes if they are large PCBs.



I am hoping to see how the video and other IO work out on the Gryphon. Good luck!

Thanks


Andrew Lynch





From: ***@googlegroups.com <javascript:> [mailto:***@googlegroups.com <javascript:> ] On Behalf Of yoda
Sent: Monday, December 29, 2014 4:37 PM
To: ***@googlegroups.com <javascript:>
Subject: Re: [N8VEM: 19164] Re: An MC68030 SBC Design



Hi Andrew!!!



Well I have serial and Flash and SRAM working so far. I have about 7 total errata now counting the ones Paul identified. I want to try to fix one of them different. After I verify that one I will be putting up an errata doc as to what traces need to be cut (3 so far I think) and patch wires. The Dram is giving me fits - I think the logic equations are in correct as I read the National Semi Plan example. If anyone is familiar with that notation and can check it that would be appreciated. I am also working on getting flow control working on serial port - should have that knocked out this week. I am still debating how to generate CIIN for I/O - I am running right now with CDIS* jumpered so there is no caching at the moment.



I hope to have some things posted by New Years - depends on how much I watch football and celebrate. How are you doing?



Dave

On Monday, December 29, 2014 3:03:52 PM UTC-6, lynchaj wrote:

Hi Dave

What’s the latest on the Gryphon build and test?



Please post photos and updates on the wiki



Thanks!

Andrew Lynch



From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, December 15, 2014 12:36 PM
To: ***@googlegroups.com
Subject: [N8VEM: 19098] Re: An MC68030 SBC Design



The Gryphon roars!!!



I have now successfully gotten the board to send and receive characters on the mc68681 chip and the SRAM passes basic memory testing so now I can have a stack and variables and can start using C for programming.



There are a few patches that are necessary for things to work. I will be posting them to the wiki in the next few days. I want to redo one of them differently as the way I did it works but may cause problems later when I turn on caching. I have CDIS* jumper'd at the moment, because John Coffman reminded me that all I/O must be cache inhibited. Right now CIN* is pulled HI - I think I can remedy that with another patch (enough logic still left in the GALs) so I need to look at that one. I quickly tried the DRAM last night but it failed so I will have to pull out Mr LogicAnalyzer and see what is going on.



Just thought I would give a quick update - the problem I had with the MC68681 turned out to be that the 68030 can write commands to it too fast and I had to put some NOPs in the initialization section only. I found that hidden in one of the data sheets - not mentioned in any of the Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com <javascript:> .
To post to this group, send email to ***@googlegroups.com <javascript:> .
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
yoda
2015-03-22 14:14:56 UTC
Permalink
Kip

I will be posting the errata doc and board view of the trace cuts that are needed so far. I will let Paul post the revision 1.1 schematics that have incorporated the changes and thanks to Paul for reviewing the changes and updating the schematics. I think we have all the errata to the errata in order now. We still don't have the dram working yet but I think we are getting closer. If anyone knows where there is documentation describing NS PLAN format of GAL equations it would be appreciated.

Again I will post on wiki after I get back from coffee and lunch.

Dave
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2015-03-22 19:42:36 UTC
Permalink
Hi Dave,
I am looking forward to seeing what has to be done to the PCB to get the Gryphon 030 v1.0 running. :) Take your time. Accuracy is paramount of course. I'm sure it's tedious work especially with a PCB of this size and complexity. I'll be here waiting when you are ready. It's just a matter of time. :) Oh, by the way, when you do get the next production run going for the Gryphon 030 v1.1, please count me in. Thanks a bunch for doing this project!

Kip Koon
***@sc.rr.com
http://www.cocopedia.com/wiki/index.php/Kip_Koon
Post by Kip Koon
-----Original Message-----
Sent: Sunday, March 22, 2015 10:15 AM
Subject: RE: [N8VEM: 19391] Re: An MC68030 SBC Design
Kip
I will be posting the errata doc and board view of the trace cuts that are needed so far. I will let Paul post the revision 1.1 schematics
that have incorporated the changes and thanks to Paul for reviewing the changes and updating the schematics. I think we have all the
errata to the errata in order now. We still don't have the dram working yet but I think we are getting closer. If anyone knows where
there is documentation describing NS PLAN format of GAL equations it would be appreciated.
Again I will post on wiki after I get back from coffee and lunch.
Dave
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
nm156
2015-03-22 19:24:56 UTC
Permalink
Hi Kip,

I wouldn't recommend scotch tape to hold the patch wires. I used #30 kynar
wire wrap for the patches and clear fingernail polish as the adhesive.
(Just a few dabs on each run) Also be aware the scotch tape will generate
a lot of static and may cause ESD damage to any components on the board.

PS
I started to use supeglue gel, but would not recommend it as it tends to
outgas when curing and leaves a cloudy residue on the board.

Paul
Post by Nikolay Dimitrov
Hi Paul,
I’m so glad you are finally moved in and all setup up again. Do you have
all the erratas for the 1st Gryphon PCB in the same one place on the
N8VEM web page? I’d definitely like to finish building my Gryphon, but the
thought of having a Gryphon built with no extra wires on the back of the
PCB sounds wonderful! :) I didn’t know if the adhesive on the back of the
Scotch tape I would use to hold the wires in place would short out
circuits, but after reading your latest email and seeing the wires routed
so nicely in the photos, it looks like Scotch tape works just fine! Thank
you for all the hard work you are doing on this project. I’m looking
forward to getting this thing running!
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
*Sent:* Friday, March 20, 2015 8:18 PM
*Subject:* Re: [N8VEM: 19385] Re: An MC68030 SBC Design
Hello all,
I finally moved and got settled in, and have had some time recently to
jump back on this project. Dave (Yoda) and I have been in communication
working behind the scenes.
I do plan on a re-spin once all the the bugs and design errors are worked
out. The board dimensions are 8.5x11 inches. I used
http://www.xuripcb.com/ for the prototype boards and cost was about $50
ea after shipping qty 5. (That included ENIG and blue soldermask which
could dropped to reduce cost on the next run). I just ran a quick quote
and I think I can get the boards down to about $20-25 each (HASL, green
soldermask) shipped when ordered in quantity. I think the quality of the
Rev 1 boards was very good from that company.
I have also used http://www.dirtypcbs.com/ for some smaller boards and
was happy their quality also.
Yoda sent me an errata sheet that I have already incorporated into what
will eventually become Rev.1.1. The updated erratas will be posted to the
wiki soon http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030
Andrew - I sent an email to your yahoo address.
Paul
<https://lh3.googleusercontent.com/-YbR_g4jd6co/VQyz9SJpdGI/AAAAAAAAAC4/pdcA1_yvrUg/s1600/GryphonTop.jpg>
<https://lh4.googleusercontent.com/-cqA21KyLNsw/VQy0BFRjRBI/AAAAAAAAADA/srkyrhwuvv4/s1600/GryphonBot.jpg>
Hi Dave
I’m doing well and am at home enjoying the holidays and resting up.
Trying to catch up on some email and check status of various projects
during a some down time. This year was a busy one for me and spent a lot
of time on the road. Not much activity on the N8VEM projects of late
though.
I think the Gryphon 68030 project is most interesting now that I have a
bit of time to contemplate the various home brew computer projects. What
are your plans for a PCB respin once you get your prototype built and
tested? Is Paul planning to make a new board? Do you think the DRAM
circuitry can be fixed?
What are the dimensions of the Gryphon PCB? It looks larger than the 60
square inches that Advanced Circuits allows for their prototype boards.
However that’s only an inconvenience not a show stopper since you can order
PCBs from PCBcart.com of almost any size. They are just more expensive to
make prototypes if they are large PCBs.
I am hoping to see how the video and other IO work out on the Gryphon.
Good luck!
Thanks
Andrew Lynch
Of *yoda
*Sent:* Monday, December 29, 2014 4:37 PM
*Subject:* Re: [N8VEM: 19164] Re: An MC68030 SBC Design
Hi Andrew!!!
Well I have serial and Flash and SRAM working so far. I have about 7
total errata now counting the ones Paul identified. I want to try to fix
one of them different. After I verify that one I will be putting up an
errata doc as to what traces need to be cut (3 so far I think) and patch
wires. The Dram is giving me fits - I think the logic equations are in
correct as I read the National Semi Plan example. If anyone is familiar
with that notation and can check it that would be appreciated. I am also
working on getting flow control working on serial port - should have that
knocked out this week. I am still debating how to generate CIIN for I/O -
I am running right now with CDIS* jumpered so there is no caching at the
moment.
I hope to have some things posted by New Years - depends on how much I
watch football and celebrate. How are you doing?
Dave
Hi Dave
What’s the latest on the Gryphon build and test?
Please post photos and updates on the wiki
Thanks!
Andrew Lynch
Of *yoda
*Sent:* Monday, December 15, 2014 12:36 PM
*Subject:* [N8VEM: 19098] Re: An MC68030 SBC Design
The Gryphon roars!!!
I have now successfully gotten the board to send and receive characters on
the mc68681 chip and the SRAM passes basic memory testing so now I can have
a stack and variables and can start using C for programming.
There are a few patches that are necessary for things to work. I will be
posting them to the wiki in the next few days. I want to redo one of them
differently as the way I did it works but may cause problems later when I
turn on caching. I have CDIS* jumper'd at the moment, because John Coffman
reminded me that all I/O must be cache inhibited. Right now CIN* is pulled
HI - I think I can remedy that with another patch (enough logic still left
in the GALs) so I need to look at that one. I quickly tried the DRAM last
night but it failed so I will have to pull out Mr LogicAnalyzer and see
what is going on.
Just thought I would give a quick update - the problem I had with the
MC68681 turned out to be that the 68030 can write commands to it too fast
and I had to put some NOPs in the initialization section only. I found
that hidden in one of the data sheets - not mentioned in any of the
Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Alan Cox
2015-03-22 19:38:22 UTC
Permalink
Not only does it give off poison gas when hot it also cracks and fractures.
Not one to ever mix with soldering ... indeed many etched brass model kits
come with warnings not to!
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Alan Jones
2015-03-22 13:16:35 UTC
Permalink
Hi Paul,

I have been lurking on our mail list for the last several months and trying to figure out which project I would like to get involved in. The Gryphon 68030 looks like a really cool project, so if you do a “re-spin” please count me in for one pcb! It is a beautiful looking motherboard! Keep up the good work.



Al



From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of nm156
Sent: Friday, March 20, 2015 8:18 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19385] Re: An MC68030 SBC Design



Hello all,



I finally moved and got settled in, and have had some time recently to jump back on this project. Dave (Yoda) and I have been in communication working behind the scenes.



I do plan on a re-spin once all the the bugs and design errors are worked out. The board dimensions are 8.5x11 inches. I used http://www.xuripcb.com/ for the prototype boards and cost was about $50 ea after shipping qty 5. (That included ENIG and blue soldermask which could dropped to reduce cost on the next run). I just ran a quick quote and I think I can get the boards down to about $20-25 each (HASL, green soldermask) shipped when ordered in quantity. I think the quality of the Rev 1 boards was very good from that company.



I have also used http://www.dirtypcbs.com/ for some smaller boards and was happy their quality also.



Yoda sent me an errata sheet that I have already incorporated into what will eventually become Rev.1.1. The updated erratas will be posted to the wiki soon http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030





Andrew - I sent an email to your yahoo address.



Paul
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Paul Fincato
2015-03-22 16:41:43 UTC
Permalink
Hello everyone,

First of all I've been remiss and want to publicly express my appreciation
to Yoda (Dave) who has been key to this project. Revisions going forward
will credit him as the co-designer of the Gryphon 68030 project.

Dave's insight and ability to identify and correct what was intended,
versus what was incorrectly designed, is what is making this a success. All
of the significant erratas have been his work.

I don't want to get everyone too excited on the board re-spin yet, it will
happen, but there is a long way to go on the prototype. The goal is to
have Rev 1.1 patch free. The gerbers are out there on the wiki for anyone
can't wait for 1.1 and wants to manufacture a batch of 1.0 boards and
doesn't mind patching and other errata corrections.

Dave has the SRAM and serial working on his board, and we are concentrating
on the DRAM controller. I just populated my board and patched this week,
but no successful tests yet.


If case anyone is interested in the software development side of things:

There is a binary GCC68k cross compiler distribution MinGW (Windows) on
sourceforge
http://sourceforge.net/projects/mingw-gcc-68k-elf/?source=directory

If you are not familiar with EASy68K, it is a very nice free (Windows
only) simulator for the 68k.
http://www.easy68k.com/

I started work on a very simple diagnostics ROM that could be used to
validate initial operation and load test programs via SREC.
So far I just have the menu and RAM tests complete. It needs an SREC
loader written and the other
peripheral tests. It is being developed in EASy68K with IO routines
separated between the Gryphon and simulator.

Gryphon68030
An N8VEM project - n8vem-sbc.pbworks.com
2012-2015 Paul Fincato and David Mehaffy
D : Diagnostics
S : SRecord loader
G : Go (run) address


Gryphon68030
Diagnostic test suite

Rev 0.1
0 : SRAM
1 : DRAM
*2 : DUART
*3 : Keyboard
*4 : Parallel
*5 : Realtime Clock
*6 : Joystick
*7 : Sound
*8 : Ethernet
*9 : IDE
*A : Floppy
*B : Video
*C : Coprocessor
*D : Interrupts
*E :
F : MAIN MENU

Select test:

There is plenty of space in the FLASH for diagnostics, a monitor, ehBASIC...
(It is becoming difficult to find ehBASIC, because sadly Lee Davison passed
away some time ago. He was a talented and prolific 6502/68K programmer).


As Dave mentioned, if anyone is familiar with the National Semiconductor
PLAN format, we could use your help. Specifically
http://n8vem-sbc.pbworks.com/w/file/88113136/DP842X_68030.pdf page 3,
converting it to GALASM format and verifying the current translation




Paul
Post by Nikolay Dimitrov
Hi Paul,
I have been lurking on our mail list for the last several months and
trying to figure out which project I would like to get involved in. The
Gryphon 68030 looks like a really cool project, so if you do a “re-spin”
please count me in for one pcb! It is a beautiful looking motherboard! Keep
up the good work.
Al
Of *nm156
*Sent:* Friday, March 20, 2015 8:18 PM
*Subject:* Re: [N8VEM: 19385] Re: An MC68030 SBC Design
Hello all,
I finally moved and got settled in, and have had some time recently to
jump back on this project. Dave (Yoda) and I have been in communication
working behind the scenes.
I do plan on a re-spin once all the the bugs and design errors are worked
out. The board dimensions are 8.5x11 inches. I used
http://www.xuripcb.com/ for the prototype boards and cost was about $50
ea after shipping qty 5. (That included ENIG and blue soldermask which
could dropped to reduce cost on the next run). I just ran a quick quote
and I think I can get the boards down to about $20-25 each (HASL, green
soldermask) shipped when ordered in quantity. I think the quality of the
Rev 1 boards was very good from that company.
I have also used http://www.dirtypcbs.com/ for some smaller boards and
was happy their quality also.
Yoda sent me an errata sheet that I have already incorporated into what
will eventually become Rev.1.1. The updated erratas will be posted to the
wiki soon http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030
Andrew - I sent an email to your yahoo address.
Paul
--
You received this message because you are subscribed to a topic in the
Google Groups "N8VEM" group.
To unsubscribe from this topic, visit
https://groups.google.com/d/topic/n8vem/AaAxTcjmnZI/unsubscribe.
To unsubscribe from this group and all its topics, send an email to
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
yoda
2015-03-22 20:57:06 UTC
Permalink
Paul

Thanks for the generous comments. I have posted a directory on the wiki
now with the Errata doc and sample programs. Here is the link:
http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder&param=Yoda

You will have to unzip the file under the software directory as the wiki
does not allow enough directory levels to create the structure under it.
To ALL: let Paul and myself know if you find any errors or corrections so
we can keep the patches up to date.

Thanks

Dave
Post by Paul Fincato
Hello everyone,
First of all I've been remiss and want to publicly express my appreciation
to Yoda (Dave) who has been key to this project. Revisions going forward
will credit him as the co-designer of the Gryphon 68030 project.
Dave's insight and ability to identify and correct what was intended,
versus what was incorrectly designed, is what is making this a success. All
of the significant erratas have been his work.
I don't want to get everyone too excited on the board re-spin yet, it will
happen, but there is a long way to go on the prototype. The goal is to
have Rev 1.1 patch free. The gerbers are out there on the wiki for anyone
can't wait for 1.1 and wants to manufacture a batch of 1.0 boards and
doesn't mind patching and other errata corrections.
Dave has the SRAM and serial working on his board, and we are
concentrating on the DRAM controller. I just populated my board and
patched this week, but no successful tests yet.
There is a binary GCC68k cross compiler distribution MinGW (Windows) on
sourceforge
http://sourceforge.net/projects/mingw-gcc-68k-elf/?source=directory
If you are not familiar with EASy68K, it is a very nice free (Windows
only) simulator for the 68k.
http://www.easy68k.com/
I started work on a very simple diagnostics ROM that could be used to
validate initial operation and load test programs via SREC.
So far I just have the menu and RAM tests complete. It needs an SREC
loader written and the other
peripheral tests. It is being developed in EASy68K with IO routines
separated between the Gryphon and simulator.
Gryphon68030
An N8VEM project - n8vem-sbc.pbworks.com
2012-2015 Paul Fincato and David Mehaffy
D : Diagnostics
S : SRecord loader
G : Go (run) address
Gryphon68030
Diagnostic test suite
Rev 0.1
0 : SRAM
1 : DRAM
*2 : DUART
*3 : Keyboard
*4 : Parallel
*5 : Realtime Clock
*6 : Joystick
*7 : Sound
*8 : Ethernet
*9 : IDE
*A : Floppy
*B : Video
*C : Coprocessor
*D : Interrupts
F : MAIN MENU
There is plenty of space in the FLASH for diagnostics, a monitor, ehBASIC...
(It is becoming difficult to find ehBASIC, because sadly Lee Davison
passed away some time ago. He was a talented and prolific 6502/68K
programmer).
As Dave mentioned, if anyone is familiar with the National Semiconductor
PLAN format, we could use your help. Specifically
http://n8vem-sbc.pbworks.com/w/file/88113136/DP842X_68030.pdf page 3,
converting it to GALASM format and verifying the current translation
Paul
Post by Nikolay Dimitrov
Hi Paul,
I have been lurking on our mail list for the last several months and
trying to figure out which project I would like to get involved in. The
Gryphon 68030 looks like a really cool project, so if you do a “re-spin”
please count me in for one pcb! It is a beautiful looking motherboard! Keep
up the good work.
Al
*Sent:* Friday, March 20, 2015 8:18 PM
*Subject:* Re: [N8VEM: 19385] Re: An MC68030 SBC Design
Hello all,
I finally moved and got settled in, and have had some time recently to
jump back on this project. Dave (Yoda) and I have been in communication
working behind the scenes.
I do plan on a re-spin once all the the bugs and design errors are worked
out. The board dimensions are 8.5x11 inches. I used
http://www.xuripcb.com/ for the prototype boards and cost was about $50
ea after shipping qty 5. (That included ENIG and blue soldermask which
could dropped to reduce cost on the next run). I just ran a quick quote
and I think I can get the boards down to about $20-25 each (HASL, green
soldermask) shipped when ordered in quantity. I think the quality of the
Rev 1 boards was very good from that company.
I have also used http://www.dirtypcbs.com/ for some smaller boards and
was happy their quality also.
Yoda sent me an errata sheet that I have already incorporated into what
will eventually become Rev.1.1. The updated erratas will be posted to the
wiki soon http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030
Andrew - I sent an email to your yahoo address.
Paul
--
You received this message because you are subscribed to a topic in the
Google Groups "N8VEM" group.
To unsubscribe from this topic, visit
https://groups.google.com/d/topic/n8vem/AaAxTcjmnZI/unsubscribe.
To unsubscribe from this group and all its topics, send an email to
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
nm156
2015-03-22 22:48:57 UTC
Permalink
Dave,
The comments are heartfelt and well deserved.

All,
I have updated the wiki page
<http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030> with the
relevant links to the erratas, and updated the page as a whole.

Paul
Post by yoda
Paul
Thanks for the generous comments. I have posted a directory on the wiki
http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder&param=Yoda
You will have to unzip the file under the software directory as the wiki
does not allow enough directory levels to create the structure under it.
To ALL: let Paul and myself know if you find any errors or corrections so
we can keep the patches up to date.
Thanks
Dave
Post by Paul Fincato
Hello everyone,
First of all I've been remiss and want to publicly express my
appreciation to Yoda (Dave) who has been key to this project. Revisions
going forward will credit him as the co-designer of the Gryphon 68030
project.
Dave's insight and ability to identify and correct what was intended,
versus what was incorrectly designed, is what is making this a success. All
of the significant erratas have been his work.
I don't want to get everyone too excited on the board re-spin yet, it
will happen, but there is a long way to go on the prototype. The goal is
to have Rev 1.1 patch free. The gerbers are out there on the wiki for
anyone can't wait for 1.1 and wants to manufacture a batch of 1.0 boards
and doesn't mind patching and other errata corrections.
Dave has the SRAM and serial working on his board, and we are
concentrating on the DRAM controller. I just populated my board and
patched this week, but no successful tests yet.
There is a binary GCC68k cross compiler distribution MinGW (Windows) on
sourceforge
http://sourceforge.net/projects/mingw-gcc-68k-elf/?source=directory
If you are not familiar with EASy68K, it is a very nice free (Windows
only) simulator for the 68k.
http://www.easy68k.com/
I started work on a very simple diagnostics ROM that could be used to
validate initial operation and load test programs via SREC.
So far I just have the menu and RAM tests complete. It needs an SREC
loader written and the other
peripheral tests. It is being developed in EASy68K with IO routines
separated between the Gryphon and simulator.
Gryphon68030
An N8VEM project - n8vem-sbc.pbworks.com
2012-2015 Paul Fincato and David Mehaffy
D : Diagnostics
S : SRecord loader
G : Go (run) address
Gryphon68030
Diagnostic test suite
Rev 0.1
0 : SRAM
1 : DRAM
*2 : DUART
*3 : Keyboard
*4 : Parallel
*5 : Realtime Clock
*6 : Joystick
*7 : Sound
*8 : Ethernet
*9 : IDE
*A : Floppy
*B : Video
*C : Coprocessor
*D : Interrupts
F : MAIN MENU
There is plenty of space in the FLASH for diagnostics, a monitor, ehBASIC...
(It is becoming difficult to find ehBASIC, because sadly Lee Davison
passed away some time ago. He was a talented and prolific 6502/68K
programmer).
As Dave mentioned, if anyone is familiar with the National Semiconductor
PLAN format, we could use your help. Specifically
http://n8vem-sbc.pbworks.com/w/file/88113136/DP842X_68030.pdf page 3,
converting it to GALASM format and verifying the current translation
Paul
Post by Nikolay Dimitrov
Hi Paul,
I have been lurking on our mail list for the last several months and
trying to figure out which project I would like to get involved in. The
Gryphon 68030 looks like a really cool project, so if you do a “re-spin”
please count me in for one pcb! It is a beautiful looking motherboard! Keep
up the good work.
Al
Behalf Of *nm156
*Sent:* Friday, March 20, 2015 8:18 PM
*Subject:* Re: [N8VEM: 19385] Re: An MC68030 SBC Design
Hello all,
I finally moved and got settled in, and have had some time recently to
jump back on this project. Dave (Yoda) and I have been in communication
working behind the scenes.
I do plan on a re-spin once all the the bugs and design errors are
worked out. The board dimensions are 8.5x11 inches. I used
http://www.xuripcb.com/ for the prototype boards and cost was about
$50 ea after shipping qty 5. (That included ENIG and blue soldermask which
could dropped to reduce cost on the next run). I just ran a quick quote
and I think I can get the boards down to about $20-25 each (HASL, green
soldermask) shipped when ordered in quantity. I think the quality of the
Rev 1 boards was very good from that company.
I have also used http://www.dirtypcbs.com/ for some smaller boards and
was happy their quality also.
Yoda sent me an errata sheet that I have already incorporated into what
will eventually become Rev.1.1. The updated erratas will be posted to the
wiki soon http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030
Andrew - I sent an email to your yahoo address.
Paul
--
You received this message because you are subscribed to a topic in the
Google Groups "N8VEM" group.
To unsubscribe from this topic, visit
https://groups.google.com/d/topic/n8vem/AaAxTcjmnZI/unsubscribe.
To unsubscribe from this group and all its topics, send an email to
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Bob Devries
2015-04-19 04:26:18 UTC
Permalink
Hi Paul,

Are PCB's available for the Gryphon Oh Thirty?
If there's none available, when do you expect to get more?
Also, What will be the price including shipping to Australia?

Regards, Bob Devries
Dalby, QLD, Australia
Post by nm156
Dave,
The comments are heartfelt and well deserved.
All,
I have updated the wiki page
<http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030> with the
relevant links to the erratas, and updated the page as a whole.
Paul
Paul
Thanks for the generous comments. I have posted a directory on the
http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder&param=Yoda
<http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder&param=Yoda>
You will have to unzip the file under the software directory as the
wiki does not allow enough directory levels to create the structure
under it. To ALL: let Paul and myself know if you find any errors
or corrections so we can keep the patches up to date.
Thanks
Dave
Hello everyone,
First of all I've been remiss and want to publicly express my
appreciation to Yoda**(Dave) who has been key to this project.
Revisions going forward will credit him as the co-designer of
the Gryphon 68030 project.
Dave's insight and ability to identify and correct what was
intended, versus what was incorrectly designed, is what is
making this a success. All of the significant erratas have been
his work.
I don't want to get everyone too excited on the board re-spin
yet, it will happen, but there is a long way to go on the
prototype. The goal is to have Rev 1.1 patch free. The gerbers
are out there on the wiki for anyone can't wait for 1.1 and
wants to manufacture a batch of 1.0 boards and doesn't mind
patching and other errata corrections.
Dave has the SRAM and serial working on his board, and we are
concentrating on the DRAM controller. I just populated my board
and patched this week, but no successful tests yet.
There is a binary GCC68k cross compiler distribution MinGW
(Windows) on sourceforge
http://sourceforge.net/projects/mingw-gcc-68k-elf/?source=directory
<http://sourceforge.net/projects/mingw-gcc-68k-elf/?source=directory>
If you are not familiar with EASy68K, it is a very nice free
(Windows only) simulator for the 68k.
http://www.easy68k.com/
I started work on a very simple diagnostics ROM that could be
used to validate initial operation and load test programs via SREC.
So far I just have the menu and RAM tests complete. It needs an
SREC loader written and the other
peripheral tests. It is being developed in EASy68K with IO
routines separated between the Gryphon and simulator.
Gryphon68030
An N8VEM project - n8vem-sbc.pbworks.com
<http://n8vem-sbc.pbworks.com>
2012-2015 Paul Fincato and David Mehaffy
D : Diagnostics
S : SRecord loader
G : Go (run) address
Gryphon68030
Diagnostic test suite
Rev 0.1
0 : SRAM
1 : DRAM
*2 : DUART
*3 : Keyboard
*4 : Parallel
*5 : Realtime Clock
*6 : Joystick
*7 : Sound
*8 : Ethernet
*9 : IDE
*A : Floppy
*B : Video
*C : Coprocessor
*D : Interrupts
F : MAIN MENU
There is plenty of space in the FLASH for diagnostics, a
monitor, ehBASIC...
(It is becoming difficult to find ehBASIC, because sadly Lee
Davison passed away some time ago. He was a talented and
prolific 6502/68K programmer).
As Dave mentioned, if anyone is familiar with the National
Semiconductor PLAN format, we could use your help. Specifically
http://n8vem-sbc.pbworks.com/w/file/88113136/DP842X_68030.pdf
<http://n8vem-sbc.pbworks.com/w/file/88113136/DP842X_68030.pdf>
page 3, converting it to GALASM format and verifying the
current translation
Paul
Hi Paul,____
I have been lurking on our mail list for the last several
months and trying to figure out which project I would like
to get involved in. The Gryphon 68030 looks like a really
cool project, so if you do a “re-spin” please count me in
for one pcb! It is a beautiful looking motherboard! Keep up
the good work.____
__ __
Al____
__ __
*Sent:* Friday, March 20, 2015 8:18 PM
*Subject:* Re: [N8VEM: 19385] Re: An MC68030 SBC Design____
__ __
Hello all,____
__ __
I finally moved and got settled in, and have had some time
recently to jump back on this project. Dave (Yoda) and I
have been in communication working behind the scenes.____
__ __
I do plan on a re-spin once all the the bugs and design
errors are worked out. The board dimensions are 8.5x11
inches. I used http://www.xuripcb.com/ for the prototype
boards and cost was about $50 ea after shipping qty 5.
(That included ENIG and blue soldermask which could
dropped to reduce cost on the next run). I just ran a quick
quote and I think I can get the boards down to about $20-25
each (HASL, green soldermask) shipped when ordered in
quantity. I think the quality of the Rev 1 boards was very
good from that company.____
__ __
I have also used http://www.dirtypcbs.com/ for some smaller
boards and was happy their quality also.____
__ __
Yoda sent me an errata sheet that I have already
incorporated into what will eventually become Rev.1.1. The
updated erratas will be posted to the wiki soon
http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030
<http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030>____
__ __
__ __
Andrew - I sent an email to your yahoo address.____
Paul____
__ __
--
You received this message because you are subscribed to a
topic in the Google Groups "N8VEM" group.
To unsubscribe from this topic, visit
https://groups.google.com/d/topic/n8vem/AaAxTcjmnZI/unsubscribe
<https://groups.google.com/d/topic/n8vem/AaAxTcjmnZI/unsubscribe>.
To unsubscribe from this group and all its topics, send an
Visit this group at http://groups.google.com/group/n8vem
<http://groups.google.com/group/n8vem>.
For more options, visit https://groups.google.com/d/optout
<https://groups.google.com/d/optout>.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
nm156
2015-04-25 12:55:22 UTC
Permalink
Hi Bob,

A quick update to everyone...

Yoda and I continue to work out the bugs in the original prototype design.
I haven't had much feedback from the other folks that received one of the
initial boards, so progress has been slow. Right now the 68030, 29F040
628512, 68681, 68882, RTC72421 and AY-3-8910 are verified operational (with
erratas applied) and support code in various stages of completion.
DRAM/8422 continues to be a challenge to get properly configured and
operational, but progress is being made on that front as well. That still
leaves IDE, keyboard, floppy, parallel, ethernet and video components to be
checked out.

So basically I can't yet provide an ETA for additional board production,
but work continues behind the scenes.

Paul
Post by Nikolay Dimitrov
Hi Paul,
Are PCB's available for the Gryphon Oh Thirty?
If there's none available, when do you expect to get more?
Also, What will be the price including shipping to Australia?
Regards, Bob Devries
Dalby, QLD, Australia
Post by nm156
Dave,
The comments are heartfelt and well deserved.
All,
I have updated the wiki page
<http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030> with the
relevant links to the erratas, and updated the page as a whole.
Paul
Paul
Thanks for the generous comments. I have posted a directory on the
http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder&param=Yoda
<http://n8vem-sbc.pbworks.com/w/browse/#view=ViewFolder&param=Yoda>
You will have to unzip the file under the software directory as the
wiki does not allow enough directory levels to create the structure
under it. To ALL: let Paul and myself know if you find any errors
or corrections so we can keep the patches up to date.
Thanks
Dave
Hello everyone,
First of all I've been remiss and want to publicly express my
appreciation to Yoda**(Dave) who has been key to this project.
Revisions going forward will credit him as the co-designer of
the Gryphon 68030 project.
Dave's insight and ability to identify and correct what was
intended, versus what was incorrectly designed, is what is
making this a success. All of the significant erratas have been
his work.
I don't want to get everyone too excited on the board re-spin
yet, it will happen, but there is a long way to go on the
prototype. The goal is to have Rev 1.1 patch free. The gerbers
are out there on the wiki for anyone can't wait for 1.1 and
wants to manufacture a batch of 1.0 boards and doesn't mind
patching and other errata corrections.
Dave has the SRAM and serial working on his board, and we are
concentrating on the DRAM controller. I just populated my board
and patched this week, but no successful tests yet.
If case anyone is interested in the software development side of
There is a binary GCC68k cross compiler distribution MinGW
(Windows) on sourceforge
http://sourceforge.net/projects/mingw-gcc-68k-elf/?source=directory
Post by nm156
<
http://sourceforge.net/projects/mingw-gcc-68k-elf/?source=directory>
Post by nm156
If you are not familiar with EASy68K, it is a very nice free
(Windows only) simulator for the 68k.
http://www.easy68k.com/
I started work on a very simple diagnostics ROM that could be
used to validate initial operation and load test programs via
SREC.
Post by nm156
So far I just have the menu and RAM tests complete. It needs an
SREC loader written and the other
peripheral tests. It is being developed in EASy68K with IO
routines separated between the Gryphon and simulator.
Gryphon68030
An N8VEM project - n8vem-sbc.pbworks.com
<http://n8vem-sbc.pbworks.com>
2012-2015 Paul Fincato and David Mehaffy
D : Diagnostics
S : SRecord loader
G : Go (run) address
Gryphon68030
Diagnostic test suite
Rev 0.1
0 : SRAM
1 : DRAM
*2 : DUART
*3 : Keyboard
*4 : Parallel
*5 : Realtime Clock
*6 : Joystick
*7 : Sound
*8 : Ethernet
*9 : IDE
*A : Floppy
*B : Video
*C : Coprocessor
*D : Interrupts
F : MAIN MENU
There is plenty of space in the FLASH for diagnostics, a
monitor, ehBASIC...
(It is becoming difficult to find ehBASIC, because sadly Lee
Davison passed away some time ago. He was a talented and
prolific 6502/68K programmer).
As Dave mentioned, if anyone is familiar with the National
Semiconductor PLAN format, we could use your help. Specifically
http://n8vem-sbc.pbworks.com/w/file/88113136/DP842X_68030.pdf
<http://n8vem-sbc.pbworks.com/w/file/88113136/DP842X_68030.pdf>
page 3, converting it to GALASM format and verifying the
current translation
Paul
Hi Paul,____
I have been lurking on our mail list for the last several
months and trying to figure out which project I would like
to get involved in. The Gryphon 68030 looks like a really
cool project, so if you do a “re-spin” please count me in
for one pcb! It is a beautiful looking motherboard! Keep up
the good work.____
__ __
Al____
__ __
*Sent:* Friday, March 20, 2015 8:18 PM
*Subject:* Re: [N8VEM: 19385] Re: An MC68030 SBC Design____
__ __
Hello all,____
__ __
I finally moved and got settled in, and have had some time
recently to jump back on this project. Dave (Yoda) and I
have been in communication working behind the scenes.____
__ __
I do plan on a re-spin once all the the bugs and design
errors are worked out. The board dimensions are 8.5x11
inches. I used http://www.xuripcb.com/ for the prototype
boards and cost was about $50 ea after shipping qty 5.
(That included ENIG and blue soldermask which could
dropped to reduce cost on the next run). I just ran a quick
quote and I think I can get the boards down to about $20-25
each (HASL, green soldermask) shipped when ordered in
quantity. I think the quality of the Rev 1 boards was very
good from that company.____
__ __
I have also used http://www.dirtypcbs.com/ for some smaller
boards and was happy their quality also.____
__ __
Yoda sent me an errata sheet that I have already
incorporated into what will eventually become Rev.1.1. The
updated erratas will be posted to the wiki soon
http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030
<http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030>____
__ __
__ __
Andrew - I sent an email to your yahoo address.____
Paul____
__ __
--
You received this message because you are subscribed to a
topic in the Google Groups "N8VEM" group.
To unsubscribe from this topic, visit
https://groups.google.com/d/topic/n8vem/AaAxTcjmnZI/unsubscribe
Post by nm156
<
https://groups.google.com/d/topic/n8vem/AaAxTcjmnZI/unsubscribe>.
Post by nm156
To unsubscribe from this group and all its topics, send an
Visit this group at http://groups.google.com/group/n8vem
<http://groups.google.com/group/n8vem>.
For more options, visit https://groups.google.com/d/optout
<https://groups.google.com/d/optout>.
--
You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
<javascript:>
Post by nm156
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Borut
2015-03-22 21:37:19 UTC
Permalink
Paul,

Motorola's TUTOR works great as a monitor in 68k system, but you have to
patch the trap handler to work
with 68020, 30,... because of different exception stack frame in CPUs after
68000. Then you could only extend it with your own
test routines.
http://www.easy68k.com/paulrsm/mecb/mecb.htm

Best regards,
Bo/
Post by Paul Fincato
Hello everyone,
First of all I've been remiss and want to publicly express my appreciation
to Yoda (Dave) who has been key to this project. Revisions going forward
will credit him as the co-designer of the Gryphon 68030 project.
Dave's insight and ability to identify and correct what was intended,
versus what was incorrectly designed, is what is making this a success. All
of the significant erratas have been his work.
I don't want to get everyone too excited on the board re-spin yet, it will
happen, but there is a long way to go on the prototype. The goal is to
have Rev 1.1 patch free. The gerbers are out there on the wiki for anyone
can't wait for 1.1 and wants to manufacture a batch of 1.0 boards and
doesn't mind patching and other errata corrections.
Dave has the SRAM and serial working on his board, and we are
concentrating on the DRAM controller. I just populated my board and
patched this week, but no successful tests yet.
There is a binary GCC68k cross compiler distribution MinGW (Windows) on
sourceforge
http://sourceforge.net/projects/mingw-gcc-68k-elf/?source=directory
If you are not familiar with EASy68K, it is a very nice free (Windows
only) simulator for the 68k.
http://www.easy68k.com/
I started work on a very simple diagnostics ROM that could be used to
validate initial operation and load test programs via SREC.
So far I just have the menu and RAM tests complete. It needs an SREC
loader written and the other
peripheral tests. It is being developed in EASy68K with IO routines
separated between the Gryphon and simulator.
Gryphon68030
An N8VEM project - n8vem-sbc.pbworks.com
2012-2015 Paul Fincato and David Mehaffy
D : Diagnostics
S : SRecord loader
G : Go (run) address
Gryphon68030
Diagnostic test suite
Rev 0.1
0 : SRAM
1 : DRAM
*2 : DUART
*3 : Keyboard
*4 : Parallel
*5 : Realtime Clock
*6 : Joystick
*7 : Sound
*8 : Ethernet
*9 : IDE
*A : Floppy
*B : Video
*C : Coprocessor
*D : Interrupts
F : MAIN MENU
There is plenty of space in the FLASH for diagnostics, a monitor, ehBASIC...
(It is becoming difficult to find ehBASIC, because sadly Lee Davison
passed away some time ago. He was a talented and prolific 6502/68K
programmer).
As Dave mentioned, if anyone is familiar with the National Semiconductor
PLAN format, we could use your help. Specifically
http://n8vem-sbc.pbworks.com/w/file/88113136/DP842X_68030.pdf page 3,
converting it to GALASM format and verifying the current translation
Paul
Post by Nikolay Dimitrov
Hi Paul,
I have been lurking on our mail list for the last several months and
trying to figure out which project I would like to get involved in. The
Gryphon 68030 looks like a really cool project, so if you do a “re-spin”
please count me in for one pcb! It is a beautiful looking motherboard! Keep
up the good work.
Al
*Sent:* Friday, March 20, 2015 8:18 PM
*Subject:* Re: [N8VEM: 19385] Re: An MC68030 SBC Design
Hello all,
I finally moved and got settled in, and have had some time recently to
jump back on this project. Dave (Yoda) and I have been in communication
working behind the scenes.
I do plan on a re-spin once all the the bugs and design errors are worked
out. The board dimensions are 8.5x11 inches. I used
http://www.xuripcb.com/ for the prototype boards and cost was about $50
ea after shipping qty 5. (That included ENIG and blue soldermask which
could dropped to reduce cost on the next run). I just ran a quick quote
and I think I can get the boards down to about $20-25 each (HASL, green
soldermask) shipped when ordered in quantity. I think the quality of the
Rev 1 boards was very good from that company.
I have also used http://www.dirtypcbs.com/ for some smaller boards and
was happy their quality also.
Yoda sent me an errata sheet that I have already incorporated into what
will eventually become Rev.1.1. The updated erratas will be posted to the
wiki soon http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030
Andrew - I sent an email to your yahoo address.
Paul
--
You received this message because you are subscribed to a topic in the
Google Groups "N8VEM" group.
To unsubscribe from this topic, visit
https://groups.google.com/d/topic/n8vem/AaAxTcjmnZI/unsubscribe.
To unsubscribe from this group and all its topics, send an email to
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
yoda
2015-03-22 23:09:06 UTC
Permalink
Hi Borut

I have written a monitor that is similar to TUTOR and ZAPPLE - kind of a
hybrid with 99%+ of it in C - small init code in assembler and a routine
eventually to install trap handlers for CP/M 68K and other OSes.

Dave
Post by Borut
Paul,
Motorola's TUTOR works great as a monitor in 68k system, but you have to
patch the trap handler to work
with 68020, 30,... because of different exception stack frame in CPUs
after 68000. Then you could only extend it with your own
test routines.
http://www.easy68k.com/paulrsm/mecb/mecb.htm
Best regards,
Bo/
Post by Paul Fincato
Hello everyone,
First of all I've been remiss and want to publicly express my
appreciation to Yoda (Dave) who has been key to this project. Revisions
going forward will credit him as the co-designer of the Gryphon 68030
project.
Dave's insight and ability to identify and correct what was intended,
versus what was incorrectly designed, is what is making this a success. All
of the significant erratas have been his work.
I don't want to get everyone too excited on the board re-spin yet, it
will happen, but there is a long way to go on the prototype. The goal is
to have Rev 1.1 patch free. The gerbers are out there on the wiki for
anyone can't wait for 1.1 and wants to manufacture a batch of 1.0 boards
and doesn't mind patching and other errata corrections.
Dave has the SRAM and serial working on his board, and we are
concentrating on the DRAM controller. I just populated my board and
patched this week, but no successful tests yet.
There is a binary GCC68k cross compiler distribution MinGW (Windows) on
sourceforge
http://sourceforge.net/projects/mingw-gcc-68k-elf/?source=directory
If you are not familiar with EASy68K, it is a very nice free (Windows
only) simulator for the 68k.
http://www.easy68k.com/
I started work on a very simple diagnostics ROM that could be used to
validate initial operation and load test programs via SREC.
So far I just have the menu and RAM tests complete. It needs an SREC
loader written and the other
peripheral tests. It is being developed in EASy68K with IO routines
separated between the Gryphon and simulator.
Gryphon68030
An N8VEM project - n8vem-sbc.pbworks.com
2012-2015 Paul Fincato and David Mehaffy
D : Diagnostics
S : SRecord loader
G : Go (run) address
Gryphon68030
Diagnostic test suite
Rev 0.1
0 : SRAM
1 : DRAM
*2 : DUART
*3 : Keyboard
*4 : Parallel
*5 : Realtime Clock
*6 : Joystick
*7 : Sound
*8 : Ethernet
*9 : IDE
*A : Floppy
*B : Video
*C : Coprocessor
*D : Interrupts
F : MAIN MENU
There is plenty of space in the FLASH for diagnostics, a monitor, ehBASIC...
(It is becoming difficult to find ehBASIC, because sadly Lee Davison
passed away some time ago. He was a talented and prolific 6502/68K
programmer).
As Dave mentioned, if anyone is familiar with the National Semiconductor
PLAN format, we could use your help. Specifically
http://n8vem-sbc.pbworks.com/w/file/88113136/DP842X_68030.pdf page 3,
converting it to GALASM format and verifying the current translation
Paul
Post by Nikolay Dimitrov
Hi Paul,
I have been lurking on our mail list for the last several months and
trying to figure out which project I would like to get involved in. The
Gryphon 68030 looks like a really cool project, so if you do a “re-spin”
please count me in for one pcb! It is a beautiful looking motherboard! Keep
up the good work.
Al
Behalf Of *nm156
*Sent:* Friday, March 20, 2015 8:18 PM
*Subject:* Re: [N8VEM: 19385] Re: An MC68030 SBC Design
Hello all,
I finally moved and got settled in, and have had some time recently to
jump back on this project. Dave (Yoda) and I have been in communication
working behind the scenes.
I do plan on a re-spin once all the the bugs and design errors are
worked out. The board dimensions are 8.5x11 inches. I used
http://www.xuripcb.com/ for the prototype boards and cost was about
$50 ea after shipping qty 5. (That included ENIG and blue soldermask which
could dropped to reduce cost on the next run). I just ran a quick quote
and I think I can get the boards down to about $20-25 each (HASL, green
soldermask) shipped when ordered in quantity. I think the quality of the
Rev 1 boards was very good from that company.
I have also used http://www.dirtypcbs.com/ for some smaller boards and
was happy their quality also.
Yoda sent me an errata sheet that I have already incorporated into what
will eventually become Rev.1.1. The updated erratas will be posted to the
wiki soon http://n8vem-sbc.pbworks.com/w/page/88322117/Gryphon68030
Andrew - I sent an email to your yahoo address.
Paul
--
You received this message because you are subscribed to a topic in the
Google Groups "N8VEM" group.
To unsubscribe from this topic, visit
https://groups.google.com/d/topic/n8vem/AaAxTcjmnZI/unsubscribe.
To unsubscribe from this group and all its topics, send an email to
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
'Andrew Lynch' via N8VEM
2015-01-19 20:29:33 UTC
Permalink
Hi Dave



Any progress on the Gryphon project? What is the latest?



I was wondering if it would make this project easier to break out the core CPU/SRAM/DRAM/Flash/UART components into a smaller simpler board?



Get those working properly and then integrate in the IO components later or on a separate board.



Thanks



Andrew Lynch



From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, December 29, 2014 4:37 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19164] Re: An MC68030 SBC Design



Hi Andrew!!!



Well I have serial and Flash and SRAM working so far. I have about 7 total errata now counting the ones Paul identified. I want to try to fix one of them different. After I verify that one I will be putting up an errata doc as to what traces need to be cut (3 so far I think) and patch wires. The Dram is giving me fits - I think the logic equations are in correct as I read the National Semi Plan example. If anyone is familiar with that notation and can check it that would be appreciated. I am also working on getting flow control working on serial port - should have that knocked out this week. I am still debating how to generate CIIN for I/O - I am running right now with CDIS* jumpered so there is no caching at the moment.



I hope to have some things posted by New Years - depends on how much I watch football and celebrate. How are you doing?



Dave

On Monday, December 29, 2014 3:03:52 PM UTC-6, lynchaj wrote:

Hi Dave

What’s the latest on the Gryphon build and test?



Please post photos and updates on the wiki



Thanks!

Andrew Lynch



From: ***@googlegroups.com <javascript:> [mailto:***@googlegroups.com <javascript:> ] On Behalf Of yoda
Sent: Monday, December 15, 2014 12:36 PM
To: ***@googlegroups.com <javascript:>
Subject: [N8VEM: 19098] Re: An MC68030 SBC Design



The Gryphon roars!!!



I have now successfully gotten the board to send and receive characters on the mc68681 chip and the SRAM passes basic memory testing so now I can have a stack and variables and can start using C for programming.



There are a few patches that are necessary for things to work. I will be posting them to the wiki in the next few days. I want to redo one of them differently as the way I did it works but may cause problems later when I turn on caching. I have CDIS* jumper'd at the moment, because John Coffman reminded me that all I/O must be cache inhibited. Right now CIN* is pulled HI - I think I can remedy that with another patch (enough logic still left in the GALs) so I need to look at that one. I quickly tried the DRAM last night but it failed so I will have to pull out Mr LogicAnalyzer and see what is going on.



Just thought I would give a quick update - the problem I had with the MC68681 turned out to be that the 68030 can write commands to it too fast and I had to put some NOPs in the initialization section only. I found that hidden in one of the data sheets - not mentioned in any of the Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com <javascript:> .
To post to this group, send email to ***@googlegroups.com <javascript:> .
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
yoda
2015-01-20 03:16:15 UTC
Permalink
Hi Andrew!!

I have not had much time to work on it lately but am planning to get fired
up again. SRAM, UART, ROM, and CPU is working fine. I suspect the
parallel port will work with no problem. I am going to work on the IDE
port while I mull over the DRAM config. I think the GAL equations for the
DRAM are not quite right because the National Semi "Plan Format" is not the
same as "normal" format of other compilers. I suspect it doesn't honor the
pin assignment logic and the equations ignore the pin logic assignments. I
need to sit down with the timing diagrams and verify the equations.

I am not sure breaking it up into separate boards will help a lot. The
board is not the difficult to work on. I just struggle with Dram as I have
not done anything like that before so it is kind of voodoo to me. A lot
easier to connect logic analyzer probes to a single board then spreading
amongst multiple boards. What I really need to do is get the errata
published so that others can look at it as well. I am not sure what
happened with Paul - have not heard from him in a while - I think he is
probably caught up in house moving as he sold his house and had to box
everything up. If you have spare cycles, it would be nice to get this
design into Kicad so we could edit the schematics directly. I think we
also need to think about what a version 2 board would look like. I know
John Coffman has some ideas and we have been trading emails. I think we
might want something a little simpler to start with - and have the ethernet
and video possibly be plugin boards or modules as the SMT nature of them
might not suit everyone.

I guess what makes it slow is work - have to be in the right frame of mind
to sit down and do serious debugging - been drained with work and the new
year - I will get back to it soon.

Dave
Post by Borut
Hi Dave
Any progress on the Gryphon project? What is the latest?
I was wondering if it would make this project easier to break out the core
CPU/SRAM/DRAM/Flash/UART components into a smaller simpler board?
Get those working properly and then integrate in the IO components later
or on a separate board.
Thanks
Andrew Lynch
*Sent:* Monday, December 29, 2014 4:37 PM
*Subject:* Re: [N8VEM: 19164] Re: An MC68030 SBC Design
Hi Andrew!!!
Well I have serial and Flash and SRAM working so far. I have about 7
total errata now counting the ones Paul identified. I want to try to fix
one of them different. After I verify that one I will be putting up an
errata doc as to what traces need to be cut (3 so far I think) and patch
wires. The Dram is giving me fits - I think the logic equations are in
correct as I read the National Semi Plan example. If anyone is familiar
with that notation and can check it that would be appreciated. I am also
working on getting flow control working on serial port - should have that
knocked out this week. I am still debating how to generate CIIN for I/O -
I am running right now with CDIS* jumpered so there is no caching at the
moment.
I hope to have some things posted by New Years - depends on how much I
watch football and celebrate. How are you doing?
Dave
Hi Dave
What’s the latest on the Gryphon build and test?
Please post photos and updates on the wiki
Thanks!
Andrew Lynch
Of *yoda
*Sent:* Monday, December 15, 2014 12:36 PM
*Subject:* [N8VEM: 19098] Re: An MC68030 SBC Design
The Gryphon roars!!!
I have now successfully gotten the board to send and receive characters on
the mc68681 chip and the SRAM passes basic memory testing so now I can have
a stack and variables and can start using C for programming.
There are a few patches that are necessary for things to work. I will be
posting them to the wiki in the next few days. I want to redo one of them
differently as the way I did it works but may cause problems later when I
turn on caching. I have CDIS* jumper'd at the moment, because John Coffman
reminded me that all I/O must be cache inhibited. Right now CIN* is pulled
HI - I think I can remedy that with another patch (enough logic still left
in the GALs) so I need to look at that one. I quickly tried the DRAM last
night but it failed so I will have to pull out Mr LogicAnalyzer and see
what is going on.
Just thought I would give a quick update - the problem I had with the
MC68681 turned out to be that the 68030 can write commands to it too fast
and I had to put some NOPs in the initialization section only. I found
that hidden in one of the data sheets - not mentioned in any of the
Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2015-01-20 07:48:48 UTC
Permalink
Hi Yoda!

I have been populating my Gyphon-030 for a while now. Funds are slow to come. All resistors except one and all capacitors are now completely installed. All dip sockets are installed with machined breakaway strips. It’s much cheaper. The next thing to do is order the PLCC sockets. I’m having to count the pins to figure out which PLCC sockets I need.

I have begun building 3 SBCs from N8VEM, the Gryphon, the Mark IV and the N8. If BOMs had the PLCCxx socket sizes specified, that would be a great help for new builders like myself. I’m new to PLCCs so I’m still in the learning stage. I just ordered some PLCC64 sockets for my Mark IV SBC the other day as a matter of fact.

I could also really use complete part numbers for the PLCC chips noted on the BOMs so I can research costs. You N8VEM seasoned veterans know what you all are talking about. Me? Not so much, yet. I have to do a lot of research on the internet to figure out what parts the BOMs are referring too. I’m pretty good with resistors and capacitors and I good with 74LS logic only, but the bigger chips I’m having to think hard on. You can probably see the steam coming out of my ears! :P

As an example, I searched for Z8S180 on ebay the other day for my Mark IV and found NOTHING. It was not until I saw Will’s pictures of his completed Mark IV and read the Z8S18033VSG part number that I could find anything in ebay.

By the way Will, I can’t find that email with pictures of your completed MARK IV PCB. I thought I saved them, but I guess not. Would you please send them to me again? I’m finding that I’m having to reference them quite a bit.

I thought ebay could search using a partial word match?!? I guess not. Anyway, the Gryphon build is on-going. I have 20 gauge and 31 gauge wire on hand. The 20 gauge seems too big and the 31 gauge is definitely too small to make corrections to the Gryphon PCB or any PCB for that matter. What size wire do I need to make corrections on PCBs? I ‘ve tried correcting 3 errors in the very first release of the Multicomp prototype James sent me and I never could make it work. I could desperately use some tutoring on making corrections on PCBs. I think that is everything on my mind at the moment. Keep up the good work.

By the way, I’d like to help you with troubleshooting this PCB, but I need a lot of hand holding to get up to speed. Thank you for all your hard work and help thus far. I really appreciate it.



Kip Koon

***@sc.rr.com

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, January 19, 2015 10:16 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19220] Re: An MC68030 SBC Design



Hi Andrew!!



I have not had much time to work on it lately but am planning to get fired up again. SRAM, UART, ROM, and CPU is working fine. I suspect the parallel port will work with no problem. I am going to work on the IDE port while I mull over the DRAM config. I think the GAL equations for the DRAM are not quite right because the National Semi "Plan Format" is not the same as "normal" format of other compilers. I suspect it doesn't honor the pin assignment logic and the equations ignore the pin logic assignments. I need to sit down with the timing diagrams and verify the equations.



I am not sure breaking it up into separate boards will help a lot. The board is not the difficult to work on. I just struggle with Dram as I have not done anything like that before so it is kind of voodoo to me. A lot easier to connect logic analyzer probes to a single board then spreading amongst multiple boards. What I really need to do is get the errata published so that others can look at it as well. I am not sure what happened with Paul - have not heard from him in a while - I think he is probably caught up in house moving as he sold his house and had to box everything up. If you have spare cycles, it would be nice to get this design into Kicad so we could edit the schematics directly. I think we also need to think about what a version 2 board would look like. I know John Coffman has some ideas and we have been trading emails. I think we might want something a little simpler to start with - and have the ethernet and video possibly be plugin boards or modules as the SMT nature of them might not suit everyone.



I guess what makes it slow is work - have to be in the right frame of mind to sit down and do serious debugging - been drained with work and the new year - I will get back to it soon.



Dave

On Monday, January 19, 2015 at 2:29:34 PM UTC-6, lynchaj wrote:

Hi Dave



Any progress on the Gryphon project? What is the latest?



I was wondering if it would make this project easier to break out the core CPU/SRAM/DRAM/Flash/UART components into a smaller simpler board?



Get those working properly and then integrate in the IO components later or on a separate board.



Thanks



Andrew Lynch



From: ***@googlegroups.com <javascript:> [mailto:***@googlegroups.com <javascript:> ] On Behalf Of yoda
Sent: Monday, December 29, 2014 4:37 PM
To: ***@googlegroups.com <javascript:>
Subject: Re: [N8VEM: 19164] Re: An MC68030 SBC Design



Hi Andrew!!!



Well I have serial and Flash and SRAM working so far. I have about 7 total errata now counting the ones Paul identified. I want to try to fix one of them different. After I verify that one I will be putting up an errata doc as to what traces need to be cut (3 so far I think) and patch wires. The Dram is giving me fits - I think the logic equations are in correct as I read the National Semi Plan example. If anyone is familiar with that notation and can check it that would be appreciated. I am also working on getting flow control working on serial port - should have that knocked out this week. I am still debating how to generate CIIN for I/O - I am running right now with CDIS* jumpered so there is no caching at the moment.



I hope to have some things posted by New Years - depends on how much I watch football and celebrate. How are you doing?



Dave

On Monday, December 29, 2014 3:03:52 PM UTC-6, lynchaj wrote:

Hi Dave

What’s the latest on the Gryphon build and test?



Please post photos and updates on the wiki



Thanks!

Andrew Lynch



From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, December 15, 2014 12:36 PM
To: ***@googlegroups.com
Subject: [N8VEM: 19098] Re: An MC68030 SBC Design



The Gryphon roars!!!



I have now successfully gotten the board to send and receive characters on the mc68681 chip and the SRAM passes basic memory testing so now I can have a stack and variables and can start using C for programming.



There are a few patches that are necessary for things to work. I will be posting them to the wiki in the next few days. I want to redo one of them differently as the way I did it works but may cause problems later when I turn on caching. I have CDIS* jumper'd at the moment, because John Coffman reminded me that all I/O must be cache inhibited. Right now CIN* is pulled HI - I think I can remedy that with another patch (enough logic still left in the GALs) so I need to look at that one. I quickly tried the DRAM last night but it failed so I will have to pull out Mr LogicAnalyzer and see what is going on.



Just thought I would give a quick update - the problem I had with the MC68681 turned out to be that the 68030 can write commands to it too fast and I had to put some NOPs in the initialization section only. I found that hidden in one of the data sheets - not mentioned in any of the Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com <javascript:> .
To post to this group, send email to ***@googlegroups.com <javascript:> .
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
yoda
2015-01-20 15:43:01 UTC
Permalink
Hi Kip

I found Paul's BOM to be pretty accurate to find chips. I am not sure
machined breakaway strips are any cheaper and can cause problems in
alignment. I find http://www.phoenixent.com a good place to get machined
sockets and plcc sockets. 75 dollar minimum order but order 100 each of
20, 16, 14 pin and you are already there. I order maybe twice a year and
generally those type of quantities and use them on all my boards. Just
better peace of mind and they look nice on board - they eliminate
frustration later. I believe if I recall you need 3 44 pin, 1 68 pin and 1
84 pin plcc socket for Gryphon. The challenge is the 68030 socket. You
can find on ebay searching for mc68030 pga socket. Not much selection at
the moment - they are 20 dollars for 2 from China and will take a while to
get here with Chinese New Year coming up.

I use 30 gauge wire wrap wire for making patches on boards - works well
though my patches look like rat's nest - I need to get better at making
them look nicer. I would think 31 gauge wire would be OK but I have never
heard of that gauge. I think there are around 20 wires now on the back of
my Gryphon board.
Post by Kip Koon
Hi Yoda!
I have been populating my Gyphon-030 for a while now. Funds are slow to
come. All resistors except one and all capacitors are now completely
installed. All dip sockets are installed with machined breakaway strips.
It’s much cheaper. The next thing to do is order the PLCC sockets. I’m
having to count the pins to figure out which PLCC sockets I need.
I have begun building 3 SBCs from N8VEM, the Gryphon, the Mark IV and the
N8. If BOMs had the PLCCxx socket sizes specified, that would be a great
help for new builders like myself. I’m new to PLCCs so I’m still in the
learning stage. I just ordered some PLCC64 sockets for my Mark IV SBC the
other day as a matter of fact.
I could also really use complete part numbers for the PLCC chips noted on
the BOMs so I can research costs. You N8VEM seasoned veterans know what
you all are talking about. Me? Not so much, yet. I have to do a lot of
research on the internet to figure out what parts the BOMs are referring
too. I’m pretty good with resistors and capacitors and I good with 74LS
logic only, but the bigger chips I’m having to think hard on. You can
probably see the steam coming out of my ears! :P
As an example, I searched for Z8S180 on ebay the other day for my Mark IV
and found NOTHING. It was not until I saw Will’s pictures of his completed
Mark IV and read the Z8S18033VSG part number that I could find anything in
ebay.
By the way Will, I can’t find that email with pictures of your completed
MARK IV PCB. I thought I saved them, but I guess not. Would you please
send them to me again? I’m finding that I’m having to reference them quite
a bit.
I thought ebay could search using a partial word match?!? I guess not.
Anyway, the Gryphon build is on-going. I have 20 gauge and 31 gauge wire
on hand. The 20 gauge seems too big and the 31 gauge is definitely too
small to make corrections to the Gryphon PCB or any PCB for that matter.
What size wire do I need to make corrections on PCBs? I ‘ve tried
correcting 3 errors in the very first release of the Multicomp prototype
James sent me and I never could make it work. I could desperately use some
tutoring on making corrections on PCBs. I think that is everything on my
mind at the moment. Keep up the good work.
By the way, I’d like to help you with troubleshooting this PCB, but I need
a lot of hand holding to get up to speed. Thank you for all your hard
work and help thus far. I really appreciate it.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
*Sent:* Monday, January 19, 2015 10:16 PM
*Subject:* Re: [N8VEM: 19220] Re: An MC68030 SBC Design
Hi Andrew!!
I have not had much time to work on it lately but am planning to get fired
up again. SRAM, UART, ROM, and CPU is working fine. I suspect the
parallel port will work with no problem. I am going to work on the IDE
port while I mull over the DRAM config. I think the GAL equations for the
DRAM are not quite right because the National Semi "Plan Format" is not the
same as "normal" format of other compilers. I suspect it doesn't honor the
pin assignment logic and the equations ignore the pin logic assignments. I
need to sit down with the timing diagrams and verify the equations.
I am not sure breaking it up into separate boards will help a lot. The
board is not the difficult to work on. I just struggle with Dram as I have
not done anything like that before so it is kind of voodoo to me. A lot
easier to connect logic analyzer probes to a single board then spreading
amongst multiple boards. What I really need to do is get the errata
published so that others can look at it as well. I am not sure what
happened with Paul - have not heard from him in a while - I think he is
probably caught up in house moving as he sold his house and had to box
everything up. If you have spare cycles, it would be nice to get this
design into Kicad so we could edit the schematics directly. I think we
also need to think about what a version 2 board would look like. I know
John Coffman has some ideas and we have been trading emails. I think we
might want something a little simpler to start with - and have the ethernet
and video possibly be plugin boards or modules as the SMT nature of them
might not suit everyone.
I guess what makes it slow is work - have to be in the right frame of mind
to sit down and do serious debugging - been drained with work and the new
year - I will get back to it soon.
Dave
Hi Dave
Any progress on the Gryphon project? What is the latest?
I was wondering if it would make this project easier to break out the core
CPU/SRAM/DRAM/Flash/UART components into a smaller simpler board?
Get those working properly and then integrate in the IO components later
or on a separate board.
Thanks
Andrew Lynch
Of *yoda
*Sent:* Monday, December 29, 2014 4:37 PM
*Subject:* Re: [N8VEM: 19164] Re: An MC68030 SBC Design
Hi Andrew!!!
Well I have serial and Flash and SRAM working so far. I have about 7
total errata now counting the ones Paul identified. I want to try to fix
one of them different. After I verify that one I will be putting up an
errata doc as to what traces need to be cut (3 so far I think) and patch
wires. The Dram is giving me fits - I think the logic equations are in
correct as I read the National Semi Plan example. If anyone is familiar
with that notation and can check it that would be appreciated. I am also
working on getting flow control working on serial port - should have that
knocked out this week. I am still debating how to generate CIIN for I/O -
I am running right now with CDIS* jumpered so there is no caching at the
moment.
I hope to have some things posted by New Years - depends on how much I
watch football and celebrate. How are you doing?
Dave
Hi Dave
What’s the latest on the Gryphon build and test?
Please post photos and updates on the wiki
Thanks!
Andrew Lynch
Of *yoda
*Sent:* Monday, December 15, 2014 12:36 PM
*Subject:* [N8VEM: 19098] Re: An MC68030 SBC Design
The Gryphon roars!!!
I have now successfully gotten the board to send and receive characters on
the mc68681 chip and the SRAM passes basic memory testing so now I can have
a stack and variables and can start using C for programming.
There are a few patches that are necessary for things to work. I will be
posting them to the wiki in the next few days. I want to redo one of them
differently as the way I did it works but may cause problems later when I
turn on caching. I have CDIS* jumper'd at the moment, because John Coffman
reminded me that all I/O must be cache inhibited. Right now CIN* is pulled
HI - I think I can remedy that with another patch (enough logic still left
in the GALs) so I need to look at that one. I quickly tried the DRAM last
night but it failed so I will have to pull out Mr LogicAnalyzer and see
what is going on.
Just thought I would give a quick update - the problem I had with the
MC68681 turned out to be that the 68030 can write commands to it too fast
and I had to put some NOPs in the initialization section only. I found
that hidden in one of the data sheets - not mentioned in any of the
Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2015-01-21 02:10:41 UTC
Permalink
Yoda,

The 31 gauge breaks too easily in my limited experience. I’ve only tried three PCB corrections so far. I’ve been hold off modifying the Gryphon yet until I can get that original Multicomp prototype’s three corrections fully functional.

I’m wondering if 24 or 26 gauge would be a better choice and more stable. I have various colors of 20 and 31 gauge on hand. I bought the 20 for breadboarding and the 31 for patching PCBs, but since it breaks so easily, I’m wondering if the 20 gauge is a better choice though it seems a bit big to solder to a PCB for making corrections. I’m trying to keep from buying more wire. It’s a bit expensive for me the way I’ve been buying wire.

I will check out phoenixent.com for sockets. The prices look interesting on first look. I’m working on putting together an sample order based on your recommendations. Why do you do $100 orders? There is only a $3 discount and I have not seen the $75 minimum, yet. I might have to be a bit more frugal and order sockets as I need I’m currently putting together an order for parts for my Mark IV build. I want to get it running first, then I’ll go further with the other two builds I’m working on. Maybe I missed something you said concerning ordering in larger quantities. I’ll reread your email over to make sure.

How do you keep the 30 gauge wire from catching on something when you make long runs?

You are probably right on cost. I was going off of what little I knew about buying sockets. The prices on Phoenix seem quite good. I’ll have to do some comparisons and see.



Kip Koon

***@sc.rr.com

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Tuesday, January 20, 2015 10:43 AM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19225] Re: An MC68030 SBC Design



Hi Kip



I found Paul's BOM to be pretty accurate to find chips. I am not sure machined breakaway strips are any cheaper and can cause problems in alignment. I find http://www.phoenixent.com a good place to get machined sockets and plcc sockets. 75 dollar minimum order but order 100 each of 20, 16, 14 pin and you are already there. I order maybe twice a year and generally those type of quantities and use them on all my boards. Just better peace of mind and they look nice on board - they eliminate frustration later. I believe if I recall you need 3 44 pin, 1 68 pin and 1 84 pin plcc socket for Gryphon. The challenge is the 68030 socket. You can find on ebay searching for mc68030 pga socket. Not much selection at the moment - they are 20 dollars for 2 from China and will take a while to get here with Chinese New Year coming up.



I use 30 gauge wire wrap wire for making patches on boards - works well though my patches look like rat's nest - I need to get better at making them look nicer. I would think 31 gauge wire would be OK but I have never heard of that gauge. I think there are around 20 wires now on the back of my Gryphon board.

On Tuesday, January 20, 2015 at 1:49:22 AM UTC-6, computerdoc wrote:

Hi Yoda!

I have been populating my Gyphon-030 for a while now. Funds are slow to come. All resistors except one and all capacitors are now completely installed. All dip sockets are installed with machined breakaway strips. It’s much cheaper. The next thing to do is order the PLCC sockets. I’m having to count the pins to figure out which PLCC sockets I need.

I have begun building 3 SBCs from N8VEM, the Gryphon, the Mark IV and the N8. If BOMs had the PLCCxx socket sizes specified, that would be a great help for new builders like myself. I’m new to PLCCs so I’m still in the learning stage. I just ordered some PLCC64 sockets for my Mark IV SBC the other day as a matter of fact.

I could also really use complete part numbers for the PLCC chips noted on the BOMs so I can research costs. You N8VEM seasoned veterans know what you all are talking about. Me? Not so much, yet. I have to do a lot of research on the internet to figure out what parts the BOMs are referring too. I’m pretty good with resistors and capacitors and I good with 74LS logic only, but the bigger chips I’m having to think hard on. You can probably see the steam coming out of my ears! :P

As an example, I searched for Z8S180 on ebay the other day for my Mark IV and found NOTHING. It was not until I saw Will’s pictures of his completed Mark IV and read the Z8S18033VSG part number that I could find anything in ebay.

By the way Will, I can’t find that email with pictures of your completed MARK IV PCB. I thought I saved them, but I guess not. Would you please send them to me again? I’m finding that I’m having to reference them quite a bit.

I thought ebay could search using a partial word match?!? I guess not. Anyway, the Gryphon build is on-going. I have 20 gauge and 31 gauge wire on hand. The 20 gauge seems too big and the 31 gauge is definitely too small to make corrections to the Gryphon PCB or any PCB for that matter. What size wire do I need to make corrections on PCBs? I ‘ve tried correcting 3 errors in the very first release of the Multicomp prototype James sent me and I never could make it work. I could desperately use some tutoring on making corrections on PCBs. I think that is everything on my mind at the moment. Keep up the good work.

By the way, I’d like to help you with troubleshooting this PCB, but I need a lot of hand holding to get up to speed. Thank you for all your hard work and help thus far. I really appreciate it.



Kip Koon

***@sc.rr.com <javascript:>

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com <javascript:> [mailto:***@googlegroups.com <javascript:> ] On Behalf Of yoda
Sent: Monday, January 19, 2015 10:16 PM
To: ***@googlegroups.com <javascript:>
Subject: Re: [N8VEM: 19220] Re: An MC68030 SBC Design



Hi Andrew!!



I have not had much time to work on it lately but am planning to get fired up again. SRAM, UART, ROM, and CPU is working fine. I suspect the parallel port will work with no problem. I am going to work on the IDE port while I mull over the DRAM config. I think the GAL equations for the DRAM are not quite right because the National Semi "Plan Format" is not the same as "normal" format of other compilers. I suspect it doesn't honor the pin assignment logic and the equations ignore the pin logic assignments. I need to sit down with the timing diagrams and verify the equations.



I am not sure breaking it up into separate boards will help a lot. The board is not the difficult to work on. I just struggle with Dram as I have not done anything like that before so it is kind of voodoo to me. A lot easier to connect logic analyzer probes to a single board then spreading amongst multiple boards. What I really need to do is get the errata published so that others can look at it as well. I am not sure what happened with Paul - have not heard from him in a while - I think he is probably caught up in house moving as he sold his house and had to box everything up. If you have spare cycles, it would be nice to get this design into Kicad so we could edit the schematics directly. I think we also need to think about what a version 2 board would look like. I know John Coffman has some ideas and we have been trading emails. I think we might want something a little simpler to start with - and have the ethernet and video possibly be plugin boards or modules as the SMT nature of them might not suit everyone.



I guess what makes it slow is work - have to be in the right frame of mind to sit down and do serious debugging - been drained with work and the new year - I will get back to it soon.



Dave

On Monday, January 19, 2015 at 2:29:34 PM UTC-6, lynchaj wrote:

Hi Dave



Any progress on the Gryphon project? What is the latest?



I was wondering if it would make this project easier to break out the core CPU/SRAM/DRAM/Flash/UART components into a smaller simpler board?



Get those working properly and then integrate in the IO components later or on a separate board.



Thanks



Andrew Lynch



From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, December 29, 2014 4:37 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19164] Re: An MC68030 SBC Design



Hi Andrew!!!



Well I have serial and Flash and SRAM working so far. I have about 7 total errata now counting the ones Paul identified. I want to try to fix one of them different. After I verify that one I will be putting up an errata doc as to what traces need to be cut (3 so far I think) and patch wires. The Dram is giving me fits - I think the logic equations are in correct as I read the National Semi Plan example. If anyone is familiar with that notation and can check it that would be appreciated. I am also working on getting flow control working on serial port - should have that knocked out this week. I am still debating how to generate CIIN for I/O - I am running right now with CDIS* jumpered so there is no caching at the moment.



I hope to have some things posted by New Years - depends on how much I watch football and celebrate. How are you doing?



Dave

On Monday, December 29, 2014 3:03:52 PM UTC-6, lynchaj wrote:

Hi Dave

What’s the latest on the Gryphon build and test?



Please post photos and updates on the wiki



Thanks!

Andrew Lynch



From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, December 15, 2014 12:36 PM
To: ***@googlegroups.com
Subject: [N8VEM: 19098] Re: An MC68030 SBC Design



The Gryphon roars!!!



I have now successfully gotten the board to send and receive characters on the mc68681 chip and the SRAM passes basic memory testing so now I can have a stack and variables and can start using C for programming.



There are a few patches that are necessary for things to work. I will be posting them to the wiki in the next few days. I want to redo one of them differently as the way I did it works but may cause problems later when I turn on caching. I have CDIS* jumper'd at the moment, because John Coffman reminded me that all I/O must be cache inhibited. Right now CIN* is pulled HI - I think I can remedy that with another patch (enough logic still left in the GALs) so I need to look at that one. I quickly tried the DRAM last night but it failed so I will have to pull out Mr LogicAnalyzer and see what is going on.



Just thought I would give a quick update - the problem I had with the MC68681 turned out to be that the 68030 can write commands to it too fast and I had to put some NOPs in the initialization section only. I found that hidden in one of the data sheets - not mentioned in any of the Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com <javascript:> .
To post to this group, send email to ***@googlegroups.com <javascript:> .
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
yoda
2015-01-21 03:51:49 UTC
Permalink
Well wire wrap has a pretty tough coating and that is what I have seen done
professionally and I have had no issues with it. I don't try to make tight
connections that is why mine look like a rat's nest, but it is not brittle
it is kynar which seems to be very flexible. I think 20 gauge would be
harder to route around the board. You can get wire wrap wire in different
colors - it might not be as cheap as other wire but it seems very reliable
and I have a bunch around for doing wire wrap boards which I don't do much
any more.

I generally order sockets in large quantities - I think you see the 75
dollar minimum when you start putting things into the cart for checkout. I
generally have 4 or 5 boards that I am working on so I try to keep a good
supply on hand. Making a lot of small orders tend to cost more in shipping
charges so it worth planning ahead.
Post by Kip Koon
Yoda,
The 31 gauge breaks too easily in my limited experience. I’ve only tried
three PCB corrections so far. I’ve been hold off modifying the Gryphon yet
until I can get that original Multicomp prototype’s three corrections fully
functional.
I’m wondering if 24 or 26 gauge would be a better choice and more stable.
I have various colors of 20 and 31 gauge on hand. I bought the 20 for
breadboarding and the 31 for patching PCBs, but since it breaks so easily,
I’m wondering if the 20 gauge is a better choice though it seems a bit big
to solder to a PCB for making corrections. I’m trying to keep from buying
more wire. It’s a bit expensive for me the way I’ve been buying wire.
I will check out phoenixent.com for sockets. The prices look interesting
on first look. I’m working on putting together an sample order based on
your recommendations. Why do you do $100 orders? There is only a $3
discount and I have not seen the $75 minimum, yet. I might have to be a
bit more frugal and order sockets as I need I’m currently putting together
an order for parts for my Mark IV build. I want to get it running first,
then I’ll go further with the other two builds I’m working on. Maybe I
missed something you said concerning ordering in larger quantities. I’ll
reread your email over to make sure.
How do you keep the 30 gauge wire from catching on something when you make long runs?
You are probably right on cost. I was going off of what little I knew
about buying sockets. The prices on Phoenix seem quite good. I’ll have to
do some comparisons and see.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
*Sent:* Tuesday, January 20, 2015 10:43 AM
*Subject:* Re: [N8VEM: 19225] Re: An MC68030 SBC Design
Hi Kip
I found Paul's BOM to be pretty accurate to find chips. I am not sure
machined breakaway strips are any cheaper and can cause problems in
alignment. I find http://www.phoenixent.com a good place to get machined
sockets and plcc sockets. 75 dollar minimum order but order 100 each of
20, 16, 14 pin and you are already there. I order maybe twice a year and
generally those type of quantities and use them on all my boards. Just
better peace of mind and they look nice on board - they eliminate
frustration later. I believe if I recall you need 3 44 pin, 1 68 pin and 1
84 pin plcc socket for Gryphon. The challenge is the 68030 socket. You
can find on ebay searching for mc68030 pga socket. Not much selection at
the moment - they are 20 dollars for 2 from China and will take a while to
get here with Chinese New Year coming up.
I use 30 gauge wire wrap wire for making patches on boards - works well
though my patches look like rat's nest - I need to get better at making
them look nicer. I would think 31 gauge wire would be OK but I have never
heard of that gauge. I think there are around 20 wires now on the back of
my Gryphon board.
Hi Yoda!
I have been populating my Gyphon-030 for a while now. Funds are slow to
come. All resistors except one and all capacitors are now completely
installed. All dip sockets are installed with machined breakaway strips.
It’s much cheaper. The next thing to do is order the PLCC sockets. I’m
having to count the pins to figure out which PLCC sockets I need.
I have begun building 3 SBCs from N8VEM, the Gryphon, the Mark IV and the
N8. If BOMs had the PLCCxx socket sizes specified, that would be a great
help for new builders like myself. I’m new to PLCCs so I’m still in the
learning stage. I just ordered some PLCC64 sockets for my Mark IV SBC the
other day as a matter of fact.
I could also really use complete part numbers for the PLCC chips noted on
the BOMs so I can research costs. You N8VEM seasoned veterans know what
you all are talking about. Me? Not so much, yet. I have to do a lot of
research on the internet to figure out what parts the BOMs are referring
too. I’m pretty good with resistors and capacitors and I good with 74LS
logic only, but the bigger chips I’m having to think hard on. You can
probably see the steam coming out of my ears! :P
As an example, I searched for Z8S180 on ebay the other day for my Mark IV
and found NOTHING. It was not until I saw Will’s pictures of his completed
Mark IV and read the Z8S18033VSG part number that I could find anything in
ebay.
By the way Will, I can’t find that email with pictures of your completed
MARK IV PCB. I thought I saved them, but I guess not. Would you please
send them to me again? I’m finding that I’m having to reference them quite
a bit.
I thought ebay could search using a partial word match?!? I guess not.
Anyway, the Gryphon build is on-going. I have 20 gauge and 31 gauge wire
on hand. The 20 gauge seems too big and the 31 gauge is definitely too
small to make corrections to the Gryphon PCB or any PCB for that matter.
What size wire do I need to make corrections on PCBs? I ‘ve tried
correcting 3 errors in the very first release of the Multicomp prototype
James sent me and I never could make it work. I could desperately use some
tutoring on making corrections on PCBs. I think that is everything on my
mind at the moment. Keep up the good work.
By the way, I’d like to help you with troubleshooting this PCB, but I need
a lot of hand holding to get up to speed. Thank you for all your hard
work and help thus far. I really appreciate it.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
Of *yoda
*Sent:* Monday, January 19, 2015 10:16 PM
*Subject:* Re: [N8VEM: 19220] Re: An MC68030 SBC Design
Hi Andrew!!
I have not had much time to work on it lately but am planning to get fired
up again. SRAM, UART, ROM, and CPU is working fine. I suspect the
parallel port will work with no problem. I am going to work on the IDE
port while I mull over the DRAM config. I think the GAL equations for the
DRAM are not quite right because the National Semi "Plan Format" is not the
same as "normal" format of other compilers. I suspect it doesn't honor the
pin assignment logic and the equations ignore the pin logic assignments. I
need to sit down with the timing diagrams and verify the equations.
I am not sure breaking it up into separate boards will help a lot. The
board is not the difficult to work on. I just struggle with Dram as I have
not done anything like that before so it is kind of voodoo to me. A lot
easier to connect logic analyzer probes to a single board then spreading
amongst multiple boards. What I really need to do is get the errata
published so that others can look at it as well. I am not sure what
happened with Paul - have not heard from him in a while - I think he is
probably caught up in house moving as he sold his house and had to box
everything up. If you have spare cycles, it would be nice to get this
design into Kicad so we could edit the schematics directly. I think we
also need to think about what a version 2 board would look like. I know
John Coffman has some ideas and we have been trading emails. I think we
might want something a little simpler to start with - and have the ethernet
and video possibly be plugin boards or modules as the SMT nature of them
might not suit everyone.
I guess what makes it slow is work - have to be in the right frame of mind
to sit down and do serious debugging - been drained with work and the new
year - I will get back to it soon.
Dave
Hi Dave
Any progress on the Gryphon project? What is the latest?
I was wondering if it would make this project easier to break out the core
CPU/SRAM/DRAM/Flash/UART components into a smaller simpler board?
Get those working properly and then integrate in the IO components later
or on a separate board.
Thanks
Andrew Lynch
Of *yoda
*Sent:* Monday, December 29, 2014 4:37 PM
*Subject:* Re: [N8VEM: 19164] Re: An MC68030 SBC Design
Hi Andrew!!!
Well I have serial and Flash and SRAM working so far. I have about 7
total errata now counting the ones Paul identified. I want to try to fix
one of them different. After I verify that one I will be putting up an
errata doc as to what traces need to be cut (3 so far I think) and patch
wires. The Dram is giving me fits - I think the logic equations are in
correct as I read the National Semi Plan example. If anyone is familiar
with that notation and can check it that would be appreciated. I am also
working on getting flow control working on serial port - should have that
knocked out this week. I am still debating how to generate CIIN for I/O -
I am running right now with CDIS* jumpered so there is no caching at the
moment.
I hope to have some things posted by New Years - depends on how much I
watch football and celebrate. How are you doing?
Dave
Hi Dave
What’s the latest on the Gryphon build and test?
Please post photos and updates on the wiki
Thanks!
Andrew Lynch
Of *yoda
*Sent:* Monday, December 15, 2014 12:36 PM
*Subject:* [N8VEM: 19098] Re: An MC68030 SBC Design
The Gryphon roars!!!
I have now successfully gotten the board to send and receive characters on
the mc68681 chip and the SRAM passes basic memory testing so now I can have
a stack and variables and can start using C for programming.
There are a few patches that are necessary for things to work. I will be
posting them to the wiki in the next few days. I want to redo one of them
differently as the way I did it works but may cause problems later when I
turn on caching. I have CDIS* jumper'd at the moment, because John Coffman
reminded me that all I/O must be cache inhibited. Right now CIN* is pulled
HI - I think I can remedy that with another patch (enough logic still left
in the GALs) so I need to look at that one. I quickly tried the DRAM last
night but it failed so I will have to pull out Mr LogicAnalyzer and see
what is going on.
Just thought I would give a quick update - the problem I had with the
MC68681 turned out to be that the 68030 can write commands to it too fast
and I had to put some NOPs in the initialization section only. I found
that hidden in one of the data sheets - not mentioned in any of the
Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2015-01-21 07:14:51 UTC
Permalink
Yoda,

Thank you so much for your advice. I really appreciate it. Since you are a veteran at building these PCBs, I will apply your suggestions and work on honing my PCB patching skills further. Thank you again.



Kip Koon

***@sc.rr.com

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Tuesday, January 20, 2015 10:52 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19230] Re: An MC68030 SBC Design



Well wire wrap has a pretty tough coating and that is what I have seen done professionally and I have had no issues with it. I don't try to make tight connections that is why mine look like a rat's nest, but it is not brittle it is kynar which seems to be very flexible. I think 20 gauge would be harder to route around the board. You can get wire wrap wire in different colors - it might not be as cheap as other wire but it seems very reliable and I have a bunch around for doing wire wrap boards which I don't do much any more.



I generally order sockets in large quantities - I think you see the 75 dollar minimum when you start putting things into the cart for checkout. I generally have 4 or 5 boards that I am working on so I try to keep a good supply on hand. Making a lot of small orders tend to cost more in shipping charges so it worth planning ahead.

On Tuesday, January 20, 2015 at 8:10:57 PM UTC-6, computerdoc wrote:

Yoda,

The 31 gauge breaks too easily in my limited experience. I’ve only tried three PCB corrections so far. I’ve been hold off modifying the Gryphon yet until I can get that original Multicomp prototype’s three corrections fully functional.

I’m wondering if 24 or 26 gauge would be a better choice and more stable. I have various colors of 20 and 31 gauge on hand. I bought the 20 for breadboarding and the 31 for patching PCBs, but since it breaks so easily, I’m wondering if the 20 gauge is a better choice though it seems a bit big to solder to a PCB for making corrections. I’m trying to keep from buying more wire. It’s a bit expensive for me the way I’ve been buying wire.

I will check out phoenixent.com for sockets. The prices look interesting on first look. I’m working on putting together an sample order based on your recommendations. Why do you do $100 orders? There is only a $3 discount and I have not seen the $75 minimum, yet. I might have to be a bit more frugal and order sockets as I need I’m currently putting together an order for parts for my Mark IV build. I want to get it running first, then I’ll go further with the other two builds I’m working on. Maybe I missed something you said concerning ordering in larger quantities. I’ll reread your email over to make sure.

How do you keep the 30 gauge wire from catching on something when you make long runs?

You are probably right on cost. I was going off of what little I knew about buying sockets. The prices on Phoenix seem quite good. I’ll have to do some comparisons and see.



Kip Koon

***@sc.rr.com <javascript:>

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com <javascript:> [mailto:***@googlegroups.com <javascript:> ] On Behalf Of yoda
Sent: Tuesday, January 20, 2015 10:43 AM
To: ***@googlegroups.com <javascript:>
Subject: Re: [N8VEM: 19225] Re: An MC68030 SBC Design



Hi Kip



I found Paul's BOM to be pretty accurate to find chips. I am not sure machined breakaway strips are any cheaper and can cause problems in alignment. I find http://www.phoenixent.com a good place to get machined sockets and plcc sockets. 75 dollar minimum order but order 100 each of 20, 16, 14 pin and you are already there. I order maybe twice a year and generally those type of quantities and use them on all my boards. Just better peace of mind and they look nice on board - they eliminate frustration later. I believe if I recall you need 3 44 pin, 1 68 pin and 1 84 pin plcc socket for Gryphon. The challenge is the 68030 socket. You can find on ebay searching for mc68030 pga socket. Not much selection at the moment - they are 20 dollars for 2 from China and will take a while to get here with Chinese New Year coming up.



I use 30 gauge wire wrap wire for making patches on boards - works well though my patches look like rat's nest - I need to get better at making them look nicer. I would think 31 gauge wire would be OK but I have never heard of that gauge. I think there are around 20 wires now on the back of my Gryphon board.

On Tuesday, January 20, 2015 at 1:49:22 AM UTC-6, computerdoc wrote:

Hi Yoda!

I have been populating my Gyphon-030 for a while now. Funds are slow to come. All resistors except one and all capacitors are now completely installed. All dip sockets are installed with machined breakaway strips. It’s much cheaper. The next thing to do is order the PLCC sockets. I’m having to count the pins to figure out which PLCC sockets I need.

I have begun building 3 SBCs from N8VEM, the Gryphon, the Mark IV and the N8. If BOMs had the PLCCxx socket sizes specified, that would be a great help for new builders like myself. I’m new to PLCCs so I’m still in the learning stage. I just ordered some PLCC64 sockets for my Mark IV SBC the other day as a matter of fact.

I could also really use complete part numbers for the PLCC chips noted on the BOMs so I can research costs. You N8VEM seasoned veterans know what you all are talking about. Me? Not so much, yet. I have to do a lot of research on the internet to figure out what parts the BOMs are referring too. I’m pretty good with resistors and capacitors and I good with 74LS logic only, but the bigger chips I’m having to think hard on. You can probably see the steam coming out of my ears! :P

As an example, I searched for Z8S180 on ebay the other day for my Mark IV and found NOTHING. It was not until I saw Will’s pictures of his completed Mark IV and read the Z8S18033VSG part number that I could find anything in ebay.

By the way Will, I can’t find that email with pictures of your completed MARK IV PCB. I thought I saved them, but I guess not. Would you please send them to me again? I’m finding that I’m having to reference them quite a bit.

I thought ebay could search using a partial word match?!? I guess not. Anyway, the Gryphon build is on-going. I have 20 gauge and 31 gauge wire on hand. The 20 gauge seems too big and the 31 gauge is definitely too small to make corrections to the Gryphon PCB or any PCB for that matter. What size wire do I need to make corrections on PCBs? I ‘ve tried correcting 3 errors in the very first release of the Multicomp prototype James sent me and I never could make it work. I could desperately use some tutoring on making corrections on PCBs. I think that is everything on my mind at the moment. Keep up the good work.

By the way, I’d like to help you with troubleshooting this PCB, but I need a lot of hand holding to get up to speed. Thank you for all your hard work and help thus far. I really appreciate it.



Kip Koon

***@sc.rr.com

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, January 19, 2015 10:16 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19220] Re: An MC68030 SBC Design



Hi Andrew!!



I have not had much time to work on it lately but am planning to get fired up again. SRAM, UART, ROM, and CPU is working fine. I suspect the parallel port will work with no problem. I am going to work on the IDE port while I mull over the DRAM config. I think the GAL equations for the DRAM are not quite right because the National Semi "Plan Format" is not the same as "normal" format of other compilers. I suspect it doesn't honor the pin assignment logic and the equations ignore the pin logic assignments. I need to sit down with the timing diagrams and verify the equations.



I am not sure breaking it up into separate boards will help a lot. The board is not the difficult to work on. I just struggle with Dram as I have not done anything like that before so it is kind of voodoo to me. A lot easier to connect logic analyzer probes to a single board then spreading amongst multiple boards. What I really need to do is get the errata published so that others can look at it as well. I am not sure what happened with Paul - have not heard from him in a while - I think he is probably caught up in house moving as he sold his house and had to box everything up. If you have spare cycles, it would be nice to get this design into Kicad so we could edit the schematics directly. I think we also need to think about what a version 2 board would look like. I know John Coffman has some ideas and we have been trading emails. I think we might want something a little simpler to start with - and have the ethernet and video possibly be plugin boards or modules as the SMT nature of them might not suit everyone.



I guess what makes it slow is work - have to be in the right frame of mind to sit down and do serious debugging - been drained with work and the new year - I will get back to it soon.



Dave

On Monday, January 19, 2015 at 2:29:34 PM UTC-6, lynchaj wrote:

Hi Dave



Any progress on the Gryphon project? What is the latest?



I was wondering if it would make this project easier to break out the core CPU/SRAM/DRAM/Flash/UART components into a smaller simpler board?



Get those working properly and then integrate in the IO components later or on a separate board.



Thanks



Andrew Lynch



From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, December 29, 2014 4:37 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19164] Re: An MC68030 SBC Design



Hi Andrew!!!



Well I have serial and Flash and SRAM working so far. I have about 7 total errata now counting the ones Paul identified. I want to try to fix one of them different. After I verify that one I will be putting up an errata doc as to what traces need to be cut (3 so far I think) and patch wires. The Dram is giving me fits - I think the logic equations are in correct as I read the National Semi Plan example. If anyone is familiar with that notation and can check it that would be appreciated. I am also working on getting flow control working on serial port - should have that knocked out this week. I am still debating how to generate CIIN for I/O - I am running right now with CDIS* jumpered so there is no caching at the moment.



I hope to have some things posted by New Years - depends on how much I watch football and celebrate. How are you doing?



Dave

On Monday, December 29, 2014 3:03:52 PM UTC-6, lynchaj wrote:

Hi Dave

What’s the latest on the Gryphon build and test?



Please post photos and updates on the wiki



Thanks!

Andrew Lynch



From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, December 15, 2014 12:36 PM
To: ***@googlegroups.com
Subject: [N8VEM: 19098] Re: An MC68030 SBC Design



The Gryphon roars!!!



I have now successfully gotten the board to send and receive characters on the mc68681 chip and the SRAM passes basic memory testing so now I can have a stack and variables and can start using C for programming.



There are a few patches that are necessary for things to work. I will be posting them to the wiki in the next few days. I want to redo one of them differently as the way I did it works but may cause problems later when I turn on caching. I have CDIS* jumper'd at the moment, because John Coffman reminded me that all I/O must be cache inhibited. Right now CIN* is pulled HI - I think I can remedy that with another patch (enough logic still left in the GALs) so I need to look at that one. I quickly tried the DRAM last night but it failed so I will have to pull out Mr LogicAnalyzer and see what is going on.



Just thought I would give a quick update - the problem I had with the MC68681 turned out to be that the 68030 can write commands to it too fast and I had to put some NOPs in the initialization section only. I found that hidden in one of the data sheets - not mentioned in any of the Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com <javascript:> .
To post to this group, send email to ***@googlegroups.com <javascript:> .
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
James Moxham
2015-01-21 07:24:39 UTC
Permalink
I like the wire wrap wire too, and most of my boards have a few
corrections/links on them. I tend to leave the wires longer than necessary
so they can be moved out of the way when working on one area and yes it
looks like a rats nest but it works fine.

but since it breaks so easily ... One comment about wires breaking -
stripping wire wrap wire with wire cutters it is very easy to weaken the
wire and then it breaks later at this point. Years ago I got a wire
wrapping tool that had a stripper in it designed for this wire, and I
don't wire wrap now, but for point to point soldering with wire wrap wire,
that little stripper is extremely useful. I don't know if you can still
buy them anywhere?

Cheers, James
Post by Kip Koon
Yoda,
Thank you so much for your advice. I really appreciate it. Since you
are a veteran at building these PCBs, I will apply your >suggestions and
work on honing my PCB patching skills further. Thank you again.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
Sent: Tuesday, January 20, 2015 10:52 PM
Subject: Re: [N8VEM: 19230] Re: An MC68030 SBC Design
Well wire wrap has a pretty tough coating and that is what I have seen
done professionally and I have had no issues with it. I don't >try to
make tight connections that is why mine look like a rat's nest, but it
is not brittle it is kynar which seems to be very flexible. I >think 20
gauge would be harder to route around the board. You can get wire wrap
wire in different colors - it might not be as cheap >as other wire but
it seems very reliable and I have a bunch around for doing wire wrap
boards which I don't do much any more.
I generally order sockets in large quantities - I think you see the 75
dollar minimum when you start putting things into the cart for
Post by Kip Koon
checkout. I generally have 4 or 5 boards that I am working on so I try
to keep a good supply on hand. Making a lot of small orders >tend to
cost more in shipping charges so it worth planning ahead.
Yoda,
The 31 gauge breaks too easily in my limited experience. I’ve only
tried three PCB corrections so far. I’ve been hold off >modifying the
Gryphon yet until I can get that original Multicomp prototype’s three
corrections fully functional.
I’m wondering if 24 or 26 gauge would be a better choice and more
stable. I have various colors of 20 and 31 gauge on hand. I >bought
the 20 for breadboarding and the 31 for patching PCBs, but since it
breaks so easily, I’m wondering if the 20 gauge is a >better choice
though it seems a bit big to solder to a PCB for making corrections.
I’m trying to keep from buying more wire. It’s a >bit expensive for me
the way I’ve been buying wire.
I will check out phoenixent.com for sockets. The prices look
interesting on first look. I’m working on putting together an sample
Post by Kip Koon
order based on your recommendations. Why do you do $100 orders? There
is only a $3 discount and I have not seen the $75 >minimum, yet. I
might have to be a bit more frugal and order sockets as I need I’m
currently putting together an order for parts >for my Mark IV build. I
want to get it running first, then I’ll go further with the other two
builds I’m working on. Maybe I missed >something you said concerning
ordering in larger quantities. I’ll reread your email over to make sure.
How do you keep the 30 gauge wire from catching on something when you make long runs?
You are probably right on cost. I was going off of what little I knew
about buying sockets. The prices on Phoenix seem quite >good. I’ll
have to do some comparisons and see.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
Sent: Tuesday, January 20, 2015 10:43 AM
Subject: Re: [N8VEM: 19225] Re: An MC68030 SBC Design
Hi Kip
I found Paul's BOM to be pretty accurate to find chips. I am not sure
machined breakaway strips are any cheaper and can cause >problems in
alignment. I find http://www.phoenixent.com a good place to get
machined sockets and plcc sockets. 75 dollar >minimum order but order
100 each of 20, 16, 14 pin and you are already there. I order maybe
twice a year and generally those type >of quantities and use them on all
my boards. Just better peace of mind and they look nice on board - they
eliminate frustration later. > I believe if I recall you need 3 44 pin,
1 68 pin and 1 84 pin plcc socket for Gryphon. The challenge is the
68030 socket. You can >find on ebay searching for mc68030 pga socket.
Not much selection at the moment - they are 20 dollars for 2 from China
and will >take a while to get here with Chinese New Year coming up.
I use 30 gauge wire wrap wire for making patches on boards - works well
though my patches look like rat's nest - I need to get >better at making
them look nicer. I would think 31 gauge wire would be OK but I have
never heard of that gauge. I think there are >around 20 wires now on
the back of my Gryphon board.
Hi Yoda!
I have been populating my Gyphon-030 for a while now. Funds are slow to
come. All resistors except one and all capacitors are >now completely
installed. All dip sockets are installed with machined breakaway
strips. It’s much cheaper. The next thing to do >is order the PLCC
sockets. I’m having to count the pins to figure out which PLCC sockets
I need.
I have begun building 3 SBCs from N8VEM, the Gryphon, the Mark IV and
the N8. If BOMs had the PLCCxx socket sizes specified, >that would be a
great help for new builders like myself. I’m new to PLCCs so I’m still
in the learning stage. I just ordered some >PLCC64 sockets for my Mark
IV SBC the other day as a matter of fact.
I could also really use complete part numbers for the PLCC chips noted
on the BOMs so I can research costs. You N8VEM seasoned >veterans know
what you all are talking about. Me? Not so much, yet. I have to do a
lot of research on the internet to figure out >what parts the BOMs are
referring too. I’m pretty good with resistors and capacitors and I good
with 74LS logic only, but the >bigger chips I’m having to think hard
on. You can probably see the steam coming out of my ears! :P
As an example, I searched for Z8S180 on ebay the other day for my Mark
IV and found NOTHING. It was not until I saw Will’s >pictures of his
completed Mark IV and read the Z8S18033VSG part number that I could find
anything in ebay.
By the way Will, I can’t find that email with pictures of your completed
MARK IV PCB. I thought I saved them, but I guess not. > Would you
please send them to me again? I’m finding that I’m having to reference
them quite a bit.
I thought ebay could search using a partial word match?!? I guess not.
Anyway, the Gryphon build is on-going. I have 20 gauge >and 31 gauge
wire on hand. The 20 gauge seems too big and the 31 gauge is definitely
too small to make corrections to the >Gryphon PCB or any PCB for that
matter. What size wire do I need to make corrections on PCBs? I ‘ve
tried correcting 3 errors in >the very first release of the Multicomp
prototype James sent me and I never could make it work. I could
desperately use some >tutoring on making corrections on PCBs. I think
that is everything on my mind at the moment. Keep up the good work.
By the way, I’d like to help you with troubleshooting this PCB, but I
need a lot of hand holding to get up to speed. Thank you for >all your
hard work and help thus far. I really appreciate it.
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
Sent: Monday, January 19, 2015 10:16 PM
Subject: Re: [N8VEM: 19220] Re: An MC68030 SBC Design
Hi Andrew!!
I have not had much time to work on it lately but am planning to get
fired up again. SRAM, UART, ROM, and CPU is working >fine. I suspect
the parallel port will work with no problem. I am going to work on the
IDE port while I mull over the DRAM config. > I think the GAL equations
for the DRAM are not quite right because the National Semi "Plan Format"
is not the same as "normal" >format of other compilers. I suspect it
doesn't honor the pin assignment logic and the equations ignore the pin
logic assignments. I >need to sit down with the timing diagrams and
verify the equations.
I am not sure breaking it up into separate boards will help a lot. The
board is not the difficult to work on. I just struggle with Dram >as I
have not done anything like that before so it is kind of voodoo to me.
A lot easier to connect logic analyzer probes to a single >board then
spreading amongst multiple boards. What I really need to do is get the
errata published so that others can look at it as >well. I am not sure
what happened with Paul - have not heard from him in a while - I think
he is probably caught up in house moving >as he sold his house and had
to box everything up. If you have spare cycles, it would be nice to get
this design into Kicad so we >could edit the schematics directly. I
think we also need to think about what a version 2 board would look
like. I know John >Coffman has some ideas and we have been trading
emails. I think we might want something a little simpler to start with
- and have >the ethernet and video possibly be plugin boards or modules
as the SMT nature of them might not suit everyone.
I guess what makes it slow is work - have to be in the right frame of
mind to sit down and do serious debugging - been drained with >work and
the new year - I will get back to it soon.
Dave
Hi Dave
Any progress on the Gryphon project? What is the latest?
I was wondering if it would make this project easier to break out the
core CPU/SRAM/DRAM/Flash/UART components into a >smaller simpler board?
Get those working properly and then integrate in the IO components later
or on a separate board.
Thanks
Andrew Lynch
Sent: Monday, December 29, 2014 4:37 PM
Subject: Re: [N8VEM: 19164] Re: An MC68030 SBC Design
Hi Andrew!!!
Well I have serial and Flash and SRAM working so far. I have about 7
total errata now counting the ones Paul identified. I want >to try to
fix one of them different. After I verify that one I will be putting up
an errata doc as to what traces need to be cut (3 so far >I think) and
patch wires. The Dram is giving me fits - I think the logic equations
are in correct as I read the National Semi Plan >example. If anyone is
familiar with that notation and can check it that would be appreciated.
I am also working on getting flow >control working on serial port -
should have that knocked out this week. I am still debating how to
generate CIIN for I/O - I am >running right now with CDIS* jumpered so
there is no caching at the moment.
I hope to have some things posted by New Years - depends on how much I
watch football and celebrate. How are you doing?
Dave
Hi Dave
What’s the latest on the Gryphon build and test?
Please post photos and updates on the wiki
Thanks!
Andrew Lynch
Sent: Monday, December 15, 2014 12:36 PM
Subject: [N8VEM: 19098] Re: An MC68030 SBC Design
The Gryphon roars!!!
I have now successfully gotten the board to send and receive characters
on the mc68681 chip and the SRAM passes basic >memory testing so now I
can have a stack and variables and can start using C for programming.
There are a few patches that are necessary for things to work. I will
be posting them to the wiki in the next few days. I want to >redo one
of them differently as the way I did it works but may cause problems
later when I turn on caching. I have CDIS* >jumper'd at the moment,
because John Coffman reminded me that all I/O must be cache inhibited.
Right now CIN* is pulled HI - >I think I can remedy that with another
patch (enough logic still left in the GALs) so I need to look at that
one. I quickly tried the >DRAM last night but it failed so I will have
to pull out Mr LogicAnalyzer and see what is going on.
Just thought I would give a quick update - the problem I had with the
MC68681 turned out to be that the 68030 can write >commands to it too
fast and I had to put some NOPs in the initialization section only. I
found that hidden in one of the data sheets >- not mentioned in any of
the Motorola datasheets but was in a Signetics data sheet.
--You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--You received this message because you are subscribed to the Google
Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Borut
2015-01-21 09:19:57 UTC
Permalink
For quick patches i have been using verowire system since 80s. It takes some getting used to, but it is in my experience the fastest, because it is easy to make multipoint connections. Use a drop of hot snot for mechanical stability when the work is finished. PGA sockets are simple to put together from breakable machined pin strips.

best regards,
Bo/
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2015-01-22 07:49:01 UTC
Permalink
Borut,
Oh yeah, do you have problems with the pins lining up correctly when you plug a PGA chip like the 68030 into a socket comprised of breakaway machined female headers? It takes a bit for me to get dip sockets made that way. They tilt so easily during soldering. Of course I have soldered quite a bit of machined breakaway strips lately. :)

Kip Koon
***@sc.rr.com
http://www.cocopedia.com/wiki/index.php/Kip_Koon



-----Original Message-----
From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of Borut
Sent: Wednesday, January 21, 2015 4:20 AM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19233] Re: An MC68030 SBC Design

For quick patches i have been using verowire system since 80s. It takes some getting used to, but it is in my experience the fastest, because it is easy to make multipoint connections. Use a drop of hot snot for mechanical stability when the work is finished. PGA sockets are simple to put together from breakable machined pin strips.

best regards,
Bo/
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Borut
2015-01-22 08:52:56 UTC
Permalink
Kip,

I never had any problems with tilting or alignment, as long as the pins are
in 100 mils raster.
I use a few small pieces of pin strips to cross connect the individual
pieces, turn the board upside down on
a flat surface (table) and solder them. Of course, you should not have
soldered any higher components
to the board before.
Attached is a detail of my current N8 build.
For PGA i would just use 2-3 cross pieces instead of one.

Best regards,
Bo/
Post by Kip Koon
Borut,
Oh yeah, do you have problems with the pins lining up correctly when you
plug a PGA chip like the 68030 into a socket comprised of breakaway
machined female headers? It takes a bit for me to get dip sockets made
that way. They tilt so easily during soldering. Of course I have soldered
quite a bit of machined breakaway strips lately. :)
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
-----Original Message-----
<javascript:>] On Behalf Of Borut
Sent: Wednesday, January 21, 2015 4:20 AM
Subject: Re: [N8VEM: 19233] Re: An MC68030 SBC Design
For quick patches i have been using verowire system since 80s. It takes
some getting used to, but it is in my experience the fastest, because it is
easy to make multipoint connections. Use a drop of hot snot for mechanical
stability when the work is finished. PGA sockets are simple to put together
from breakable machined pin strips.
best regards,
Bo/
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2015-01-23 05:30:48 UTC
Permalink
Borut,

That is an awesome idea! I never thought of that. I wish I had. Now soldering all those strips won’t be so tedious and time consuming. I’ve been soldering the machined strips one at a time while trying to keep the little rascal perfectly vertical for at least a couple years now. Who’d of thought there was a better way. I know I can’t be the only one who never came up with this! :P Borut, thanks a million. Now where is that boat from China going to get here with my shipment




Kip Koon

***@sc.rr.com

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of Borut
Sent: Thursday, January 22, 2015 3:53 AM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19242] Re: An MC68030 SBC Design



Kip,

I never had any problems with tilting or alignment, as long as the pins are in 100 mils raster.
I use a few small pieces of pin strips to cross connect the individual pieces, turn the board upside down on
a flat surface (table) and solder them. Of course, you should not have soldered any higher components
to the board before.
Attached is a detail of my current N8 build.
For PGA i would just use 2-3 cross pieces instead of one.

Best regards,
Bo/

On Thursday, January 22, 2015 at 8:49:41 AM UTC+1, computerdoc wrote:

Borut,
Oh yeah, do you have problems with the pins lining up correctly when you plug a PGA chip like the 68030 into a socket comprised of breakaway machined female headers? It takes a bit for me to get dip sockets made that way. They tilt so easily during soldering. Of course I have soldered quite a bit of machined breakaway strips lately. :)

Kip Koon
***@sc.rr.com <javascript:>
http://www.cocopedia.com/wiki/index.php/Kip_Koon



-----Original Message-----
From: ***@googlegroups.com <javascript:> [mailto:***@googlegroups.com <javascript:> ] On Behalf Of Borut
Sent: Wednesday, January 21, 2015 4:20 AM
To: ***@googlegroups.com <javascript:>
Subject: Re: [N8VEM: 19233] Re: An MC68030 SBC Design

For quick patches i have been using verowire system since 80s. It takes some getting used to, but it is in my experience the fastest, because it is easy to make multipoint connections. Use a drop of hot snot for mechanical stability when the work is finished. PGA sockets are simple to put together from breakable machined pin strips.

best regards,
Bo/
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com <javascript:> .
To post to this group, send email to ***@googlegroups.com <javascript:> .
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Kip Koon
2015-01-22 07:49:01 UTC
Permalink
Borut,
Hot Snot! That is what I must need. The wires on my first Multicomp PCB were not stationary. That must be the reason why one broke. Where can I get Hot Snot! Is it really hot or does it just work that well? :)

Kip Koon
***@sc.rr.com
http://www.cocopedia.com/wiki/index.php/Kip_Koon



-----Original Message-----
From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of Borut
Sent: Wednesday, January 21, 2015 4:20 AM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19233] Re: An MC68030 SBC Design

For quick patches i have been using verowire system since 80s. It takes some getting used to, but it is in my experience the fastest, because it is easy to make multipoint connections. Use a drop of hot snot for mechanical stability when the work is finished. PGA sockets are simple to put together from breakable machined pin strips.

best regards,
Bo/
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Borut
2015-01-22 08:25:36 UTC
Permalink
Kip!

Hot snot is Dave Jones's name for:
http://en.wikipedia.org/wiki/Hot-melt_adhesive

You can also use other types of glue or varnish, but check first how it
interacts with insulation
and how long it takes to harden.
The idea is just to mechanically fix the loose wires to the pcb.

lp, Bo/
Post by Kip Koon
Borut,
Hot Snot! That is what I must need. The wires on my first Multicomp PCB
were not stationary. That must be the reason why one broke. Where can I
get Hot Snot! Is it really hot or does it just work that well? :)
Kip Koon
http://www.cocopedia.com/wiki/index.php/Kip_Koon
-----Original Message-----
<javascript:>] On Behalf Of Borut
Sent: Wednesday, January 21, 2015 4:20 AM
Subject: Re: [N8VEM: 19233] Re: An MC68030 SBC Design
For quick patches i have been using verowire system since 80s. It takes
some getting used to, but it is in my experience the fastest, because it is
easy to make multipoint connections. Use a drop of hot snot for mechanical
stability when the work is finished. PGA sockets are simple to put together
from breakable machined pin strips.
best regards,
Bo/
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
John Coffman
2015-01-21 10:31:58 UTC
Permalink
<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN">
<html>
<head>
<meta content="text/html; charset=ISO-8859-1"
http-equiv="Content-Type">
</head>
<body bgcolor="#ffffff" text="#000000">
<tt><big>In industrial practice, the use of sharp wire strippers
that can nick the wire is strictly forbidden.&nbsp; We used to use
"thermal" strippers, which consist of two hot Nichrome wires
that melt the insulation so it can be pulled off.&nbsp; Actually, the
hot stripper is faster and easier to use.&nbsp; I have not seen one
of these in many years.<br>
<br>
FYI:&nbsp; the Apollo guidance computer and the IBM System/360
computers, both from the 1960s, were all wire-wrapped.&nbsp; Ten
turns around a sharp, square, gold-plated post gives 40 points
of contact.&nbsp; IBM found this to be an extremely reliable method
of connecting components; hence, its use on the Apollo moon
missions.<br>
<br>
--John<br>
<br>
<br>
<br>
<br>
<br>
<br>
</big><br>
</tt>On 01/20/2015 11:24 PM, James Moxham wrote:
<blockquote cite="mid:***@dcc93209" type="cite">
<meta http-equiv="Content-Type" content="text/html;
charset=ISO-8859-1">
<meta name="Generator" content="Microsoft Word 14 (filtered
medium)">
<style><!--
/* Font Definitions */
@font-face
{font-family:Calibri;
panose-1:2 15 5 2 2 2 4 3 2 4;}
@font-face
{font-family:Tahoma;
panose-1:2 11 6 4 3 5 4 4 2 4;}
/* Style Definitions */
p.MsoNormal, li.MsoNormal, div.MsoNormal
{margin:0in;
margin-bottom:.0001pt;
font-size:12.0pt;
font-family:"Times New Roman","serif";}
a:link, span.MsoHyperlink
{mso-style-priority:99;
color:blue;
text-decoration:underline;}
a:visited, span.MsoHyperlinkFollowed
{mso-style-priority:99;
color:purple;
text-decoration:underline;}
p
{mso-style-priority:99;
mso-margin-top-alt:auto;
margin-right:0in;
mso-margin-bottom-alt:auto;
margin-left:0in;
font-size:12.0pt;
font-family:"Times New Roman","serif";}
p.MsoAcetate, li.MsoAcetate, div.MsoAcetate
{mso-style-priority:99;
mso-style-link:"Balloon Text Char";
margin:0in;
margin-bottom:.0001pt;
font-size:8.0pt;
font-family:"Tahoma","sans-serif";}
span.EmailStyle18
{mso-style-type:personal-reply;
font-family:"Calibri","sans-serif";
color:#1F497D;}
span.BalloonTextChar
{mso-style-name:"Balloon Text Char";
mso-style-priority:99;
mso-style-link:"Balloon Text";
font-family:"Tahoma","sans-serif";}
.MsoChpDefault
{mso-style-type:export-only;
font-family:"Calibri","sans-serif";}
@page WordSection1
{size:8.5in 11.0in;
margin:1.0in 1.0in 1.0in 1.0in;}
div.WordSection1
{page:WordSection1;}
--></style><!--[if gte mso 9]><xml>
<o:shapedefaults v:ext="edit" spidmax="1026" />
</xml><![endif]--><!--[if gte mso 9]><xml>
<o:shapelayout v:ext="edit">
<o:idmap v:ext="edit" data="1" />
</o:shapelayout></xml><![endif]-->
<style type="text/css">body { font-family:'Times New Roman'; font-size:13px}</style>
<div><big>I like the wire wrap wire too, and most of my boards
have a few corrections/links on them. I tend to leave the
wires longer than necessary so they can be moved out of the
way when working on one area and yes it looks like a rats nest
but it works fine.</big></div>
<div><big><br>
</big></div>
<div>
<span style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31, 73,
125);"> but since it breaks so easily</span> ... <big>One
comment about wires breaking - stripping wire wrap wire with
wire cutters it is very easy to weaken the wire and then it
breaks later at this point. Years ago I got a wire wrapping
tool that had a stripper in it designed for this wire, and I
don't wire wrap now, but for point to point soldering with
wire wrap wire, that little stripper is extremely useful. I
don't know if you can still buy them anywhere?</big></div> <div><big><br> </big></div> <div><big>Cheers, James</big></div> <div><br> </div> <div><br> </div> <div>On Wed, 21 Jan 2015 17:44:51 +1030, Kip Koon <a class="moz-txt-link-rfc2396E" href="mailto:***@sc.rr.com">&lt;***@sc.rr.com&gt;</a> wrote:<br>
</div>
<br>
<blockquote style="margin: 0pt 0pt 0.8ex; border-left: 2px solid
rgb(0, 0, 255); padding-left: 1ex;">
<div class="WordSection1">
<p class="MsoNormal"><span style="font-size: 11pt;
font-family: &quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">Yoda,</span></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family: &quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">Thank you so much for your
advice.&nbsp; I really appreciate it.&nbsp; Since you are a veteran
at building these PCBs, I will apply your suggestions and
work on honing my PCB patching skills further.&nbsp; Thank you
again.</span></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family: &quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);"><o:p>&nbsp;</o:p></span></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);">Kip Koon</span></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><a moz-do-not-send="true"
href="mailto:***@sc.rr.com">***@sc.rr.com</a></span></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><a moz-do-not-send="true"
href="http://www.cocopedia.com/wiki/index.php/Kip_Koon">http://www.cocopedia.com/wiki/index.php/Kip_Koon</a></span></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><o:p>&nbsp;</o:p></span></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family: &quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);"><o:p>&nbsp;</o:p></span></p>
<p class="MsoNormal"><b><span style="font-size: 10pt;
font-family: &quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">
<a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a> [<a class="moz-txt-link-freetext" href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>] <b>On
Behalf Of </b>yoda<br>
<b>Sent:</b> Tuesday, January 20, 2015 10:52 PM<br>
<b>To:</b> <a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM: 19230] Re: An MC68030 SBC
Design</span></p>
<p class="MsoNormal"><o:p>&nbsp;</o:p></p>
<div>
<p class="MsoNormal">Well wire wrap has a pretty tough
coating and that is what I have seen done professionally
and I have had no issues with it. &nbsp;I don't try to make
tight connections that is why mine look like a rat's nest,
but it is not brittle it is kynar which seems to be very
flexible. &nbsp;I think 20 gauge would be harder to route
around the board. &nbsp;You can get wire wrap wire in different
colors - it might not be as cheap as other wire but it
seems very reliable and I have a bunch around for doing
wire wrap boards which I don't do much any more.</p>
<div>
<p class="MsoNormal"><o:p>&nbsp;</o:p></p>
</div>
<div>
<p class="MsoNormal">I generally order sockets in large
quantities - I think you see the 75 dollar minimum when
you start putting things into the cart for checkout. &nbsp;I
generally have 4 or 5 boards that I am working on so I
try to keep a good supply on hand. &nbsp;Making a lot of
small orders tend to cost more in shipping charges so it
worth planning ahead.<br>
<br>
On Tuesday, January 20, 2015 at 8:10:57 PM UTC-6,
computerdoc wrote:</p>
<div>
<div>
<p class="MsoNormal" style=""><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">Yoda,</span></p>
<p class="MsoNormal" style=""><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">The 31 gauge breaks too easily
in my limited experience.&nbsp; I&acirc;&#8364;&#8482;ve only tried three
PCB corrections so far.&nbsp; I&acirc;&#8364;&#8482;ve been hold off
modifying the Gryphon yet until I can get that
original Multicomp prototype&acirc;&#8364;&#8482;s three corrections
fully functional.&nbsp; </span></p>
<p class="MsoNormal" style=""><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">I&acirc;&#8364;&#8482;m wondering if 24 or 26
gauge would be a better choice and more stable.&nbsp; I
have various colors of 20 and 31 gauge on hand.&nbsp; I
bought the 20 for breadboarding and the 31 for
patching PCBs, but since it breaks so easily,
I&acirc;&#8364;&#8482;m wondering if the 20 gauge is a better choice
though it seems a bit big to solder to a PCB for
making corrections.&nbsp; I&acirc;&#8364;&#8482;m trying to keep from
buying more wire.&nbsp; It&acirc;&#8364;&#8482;s a bit expensive for me
the way I&acirc;&#8364;&#8482;ve been buying wire.</span></p>
<p class="MsoNormal" style=""><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">I will check out <a
moz-do-not-send="true"
href="http://phoenixent.com" target="_blank">phoenixent.com</a>
for sockets.&nbsp; The prices look interesting on first
look.&nbsp; I&acirc;&#8364;&#8482;m working on putting together an sample
order based on your recommendations.&nbsp; Why do you
do $100 orders?&nbsp; There is only a $3 discount and I
have not seen the $75 minimum, yet.&nbsp; I might have
to be a bit more frugal and order sockets as I
need&nbsp; I&acirc;&#8364;&#8482;m currently putting together an order
for parts for my Mark IV build.&nbsp; I want to get it
running first, then I&acirc;&#8364;&#8482;ll go further with the
other two builds I&acirc;&#8364;&#8482;m working on.&nbsp; Maybe I missed
something you said concerning ordering in larger
quantities.&nbsp; I&acirc;&#8364;&#8482;ll reread your email over to make
sure.</span></p>
<p class="MsoNormal" style=""><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">How do you keep the 30 gauge
wire from catching on something when you make long
runs?</span></p>
<p class="MsoNormal" style=""><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">You are probably right on
cost.&nbsp; I was going off of what little I knew about
buying sockets.&nbsp; The prices on Phoenix seem quite
good.&nbsp; I&acirc;&#8364;&#8482;ll have to do some comparisons and see.
</span></p>
<p class="MsoNormal" style=""><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">&nbsp;</span></p>
<p class="MsoNormal" style=""><span
style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">Kip Koon</span></p>
<p class="MsoNormal" style=""><span
style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);"><a moz-do-not-send="true"
href="javascript:" target="_blank">***@sc.rr.com</a></span></p>
<p class="MsoNormal" style=""><span
style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);"><a moz-do-not-send="true"
href="http://www.cocopedia.com/wiki/index.php/Kip_Koon"
target="_blank">http://www.cocopedia.com/wiki/index.php/Kip_Koon</a></span></p>
<p class="MsoNormal" style=""><span
style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">&nbsp;</span></p>
<p class="MsoNormal" style=""><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">&nbsp;</span></p>
<p class="MsoNormal" style=""><b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;"> <a
moz-do-not-send="true" href="javascript:"
target="_blank">***@googlegroups.com</a>
[mailto:<a moz-do-not-send="true"
href="javascript:" target="_blank">***@googlegroups.com</a>]
<b>On Behalf Of </b>yoda<br>
<b>Sent:</b> Tuesday, January 20, 2015 10:43 AM<br>
<b>To:</b> <a moz-do-not-send="true"
href="javascript:" target="_blank">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM: 19225] Re: An MC68030
SBC Design</span></p>
<p class="MsoNormal" style="">&nbsp;</p>
<div>
<p class="MsoNormal" style="">Hi Kip</p>
<div>
<p class="MsoNormal" style="">&nbsp;</p>
</div>
<div>
<p class="MsoNormal" style="">I found Paul's BOM
to be pretty accurate to find chips. &nbsp;I am not
sure machined breakaway strips are any cheaper
and can cause problems in alignment. &nbsp;I find&nbsp;<a
moz-do-not-send="true"
href="http://www.phoenixent.com"
target="_blank">http://www.phoenixent.com</a>
a good place to get machined sockets and plcc
sockets. &nbsp;75 dollar minimum order but order 100
each of 20, 16, 14 pin and you are already
there. &nbsp;I order maybe twice a year and generally
those type of quantities and use them on all my
boards. &nbsp;Just better peace of mind and they look
nice on board - they eliminate frustration
later. &nbsp;I believe if I recall you need 3 44 pin,
1 68 pin and 1 84 pin plcc socket for Gryphon.
&nbsp;The challenge is the 68030 socket. &nbsp;You can
find on ebay searching for mc68030 pga socket.
Not much selection at the moment - they are 20
dollars for 2 from China and will take a while
to get here with Chinese New Year coming up.</p>
</div>
<div>
<p class="MsoNormal" style="">&nbsp;</p>
</div>
<div>
<p class="MsoNormal" style="">I use 30 gauge wire
wrap wire for making patches on boards - works
well though my patches look like rat's nest - I
need to get better at making them look nicer. &nbsp;I
would think 31 gauge wire would be OK but I have
never heard of that gauge. &nbsp;I think there are
around 20 wires now on the back of my Gryphon
board.<br>
<br>
On Tuesday, January 20, 2015 at 1:49:22 AM
UTC-6, computerdoc wrote:</p>
<div>
<div>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">Hi Yoda!</span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">I have been
populating my Gyphon-030 for a while now.&nbsp;
Funds are slow to come.&nbsp; All resistors
except one and all capacitors are now
completely installed.&nbsp; All dip sockets are
installed with machined breakaway strips.&nbsp;
It&acirc;&#8364;&#8482;s much cheaper.&nbsp; The next thing to do
is order the PLCC sockets.&nbsp; I&acirc;&#8364;&#8482;m having
to count the pins to figure out which PLCC
sockets I need.&nbsp; </span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">I have begun
building 3 SBCs from N8VEM, the Gryphon,
the Mark IV and the N8.&nbsp; If BOMs had the
PLCCxx socket sizes specified, that would
be a great help for new builders like
myself.&nbsp; I&acirc;&#8364;&#8482;m new to PLCCs so I&acirc;&#8364;&#8482;m still
in the learning stage.&nbsp; I just ordered
some PLCC64 sockets for my Mark IV SBC the
other day as a matter of fact.&nbsp; </span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">I could also
really use complete part numbers for the
PLCC chips noted on the BOMs so I can
research costs.&nbsp; You N8VEM seasoned
veterans know what you all are talking
about.&nbsp; Me?&nbsp; Not so much, yet.&nbsp; I have to
do a lot of research on the internet to
figure out what parts the BOMs are
referring too.&nbsp; I&acirc;&#8364;&#8482;m pretty good with
resistors and capacitors and I good with
74LS logic only, but the bigger chips
I&acirc;&#8364;&#8482;m having to think hard on.&nbsp; You can
probably see the steam coming out of my
ears!&nbsp; :P&nbsp; </span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">As an example, I
searched for Z8S180 on ebay the other day
for my Mark IV and found NOTHING.&nbsp; It was
not until I saw Will&acirc;&#8364;&#8482;s pictures of his
completed Mark IV and read the Z8S18033VSG
part number that I could find anything in
ebay.&nbsp; </span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">By the way Will,
I can&acirc;&#8364;&#8482;t find that email with pictures of
your completed MARK IV PCB.&nbsp; I thought I
saved them, but I guess not. &nbsp;Would you
please send them to me again?&nbsp; I&acirc;&#8364;&#8482;m
finding that I&acirc;&#8364;&#8482;m having to reference
them quite a bit.&nbsp; </span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">I thought ebay
could search using a partial word
match?!?&nbsp; I guess not.&nbsp; Anyway, the
Gryphon build is on-going.&nbsp; I have 20
gauge and 31 gauge wire on hand.&nbsp; The 20
gauge seems too big and the 31 gauge is
definitely too small to make corrections
to the Gryphon PCB or any PCB for that
matter.&nbsp; What size wire do I need to make
corrections on PCBs?&nbsp; I &acirc;&#8364;&#732;ve tried
correcting 3 errors in the very first
release of the Multicomp prototype James
sent me and I never could make it work.&nbsp; I
could desperately use some tutoring on
making corrections on PCBs. &nbsp;I think that
is everything on my mind at the moment.&nbsp;
Keep up the good work.&nbsp; </span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">By the way,
I&acirc;&#8364;&#8482;d like to help you with
troubleshooting this PCB, but I need a lot
of hand holding to get up to speed.&nbsp; Thank
you for all your hard &nbsp;work and help thus
far.&nbsp; I really appreciate it.</span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">&nbsp;</span></p>
<p class="MsoNormal" style=""><span
style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">Kip Koon</span></p>
<p class="MsoNormal" style=""><span
style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);"><a
moz-do-not-send="true"
href="mailto:***@sc.rr.com">***@sc.rr.com</a></span></p>
<p class="MsoNormal" style=""><span
style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);"><a
moz-do-not-send="true"
href="http://www.cocopedia.com/wiki/index.php/Kip_Koon"
target="_blank">http://www.cocopedia.com/wiki/index.php/Kip_Koon</a></span></p>
<p class="MsoNormal" style=""><span
style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">&nbsp;</span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">&nbsp;</span></p>
<p class="MsoNormal" style=""><b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">
<a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a>
[<a class="moz-txt-link-freetext" href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>] <b>On
Behalf Of </b>yoda<br>
<b>Sent:</b> Monday, January 19, 2015
10:16 PM<br>
<b>To:</b> <a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM: 19220] Re: An
MC68030 SBC Design</span></p>
<p class="MsoNormal" style="">&nbsp;</p>
<div>
<p class="MsoNormal" style="">Hi Andrew!!</p>
<div>
<p class="MsoNormal" style="">&nbsp;</p>
</div>
<div>
<p class="MsoNormal" style="">I have not
had much time to work on it lately but
am planning to get fired up again.
&nbsp;SRAM, UART, ROM, and CPU is working
fine. &nbsp;I suspect the parallel port will
work with no problem. &nbsp;I am going to
work on the IDE port while I mull over
the DRAM config. &nbsp;I think the GAL
equations for the DRAM are not quite
right because the National Semi "Plan
Format" is not the same as "normal"
format of other compilers. &nbsp;I suspect it
doesn't honor the pin assignment logic
and the equations ignore the pin logic
assignments. &nbsp;I need to sit down with
the timing diagrams and verify the
equations. &nbsp;</p>
</div>
<div>
<p class="MsoNormal" style="">&nbsp;</p>
</div>
<div>
<p class="MsoNormal" style="">I am not
sure breaking it up into separate boards
will help a lot. &nbsp;The board is not the
difficult to work on. &nbsp;I just struggle
with Dram as I have not done anything
like that before so it is kind of voodoo
to me. &nbsp;A lot easier to connect logic
analyzer probes to a single board then
spreading amongst multiple boards. &nbsp;What
I really need to do is get the errata
published so that others can look at it
as well. &nbsp;I am not sure what happened
with Paul - have not heard from him in a
while - I think he is probably caught up
in house moving as he sold his house and
had to box everything up. &nbsp;If you have
spare cycles, it would be nice to get
this design into Kicad so we could edit
the schematics directly. &nbsp;I think we
also need to think about what a version
2 board would look like. &nbsp;I know John
Coffman has some ideas and we have been
trading emails. &nbsp;I think we might want
something a little simpler to start with
- and have the ethernet and video
possibly be plugin boards or modules as
the SMT nature of them might not suit
everyone.</p>
</div>
<div>
<p class="MsoNormal" style="">&nbsp;</p>
</div>
<div>
<p class="MsoNormal" style="">I guess what
makes it slow is work - have to be in
the right frame of mind to sit down and
do serious debugging - been drained with
work and the new year - I will get back
to it soon.</p>
</div>
<div>
<p class="MsoNormal" style="">&nbsp;</p>
</div>
<div>
<p class="MsoNormal" style="">Dave<br>
<br>
On Monday, January 19, 2015 at 2:29:34
PM UTC-6, lynchaj wrote:</p>
<div>
<div>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Hi Dave</span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Any
progress on the Gryphon project?&nbsp;
What is the latest?</span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">I was
wondering if it would make this
project easier to break out the
core CPU/SRAM/DRAM/Flash/UART
components into a smaller simpler
board?&nbsp; </span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Get
those working properly and then
integrate in the IO components
later or on a separate board.</span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Thanks</span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Andrew
Lynch</span></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span></p>
<div style="border-width: medium
medium medium 1.5pt; border-style:
none none none solid; border-color:
-moz-use-text-color
-moz-use-text-color
-moz-use-text-color blue; padding:
0in 0in 0in 4pt;">
<div>
<div style="border-right: medium
none; border-width: 1pt medium
medium; border-style: solid none
none; border-color: rgb(181,
196, 223) -moz-use-text-color
-moz-use-text-color; padding:
3pt 0in 0in;">
<p class="MsoNormal" style=""><b><span
style="font-size: 10pt;
font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt;
font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">
<a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a>
[<a class="moz-txt-link-freetext" href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>]
<b>On Behalf Of </b>yoda<br>
<b>Sent:</b> Monday,
December 29, 2014 4:37 PM<br>
<b>To:</b>
<a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM:
19164] Re: An MC68030 SBC
Design</span></p>
</div>
</div>
<p class="MsoNormal" style="">&nbsp;</p>
<div>
<p class="MsoNormal" style="">Hi
Andrew!!!</p>
<div>
<p class="MsoNormal" style="">&nbsp;</p>
</div>
<div>
<p class="MsoNormal" style="">Well
I have serial and Flash and
SRAM working so far. &nbsp;I have
about 7 total errata now
counting the ones Paul
identified. &nbsp;I want to try to
fix one of them different.
&nbsp;After I verify that one I
will be putting up an errata
doc as to what traces need to
be cut (3 so far I think) and
patch wires. &nbsp;The Dram is
giving me fits - I think the
logic equations are in correct
as I read the National Semi
Plan example. &nbsp;If anyone is
familiar with that notation
and can check it that would be
appreciated. &nbsp;I am also
working on getting flow
control working on serial port
- should &nbsp;have that knocked
out this week. &nbsp; I am still
debating how to generate CIIN
for I/O - I am running right
now with CDIS* jumpered so
there is no caching at the
moment.</p>
</div>
<div>
<p class="MsoNormal" style="">&nbsp;</p>
</div>
<div>
<p class="MsoNormal" style="">I
hope to have some things
posted by New Years - depends
on how much I watch football
and celebrate. &nbsp; How are you
doing?</p>
</div>
<div>
<p class="MsoNormal" style="">&nbsp;</p>
</div>
<div>
<p class="MsoNormal" style="">Dave<br>
<br>
On Monday, December 29, 2014
3:03:52 PM UTC-6, lynchaj
wrote:</p>
<div>
<div>
<p class="MsoNormal"
style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84,
106);">Hi Dave</span></p>
<p class="MsoNormal"
style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84,
106);">What&acirc;&#8364;&#8482;s the
latest on the Gryphon
build and test?&nbsp; </span></p>
<p class="MsoNormal"
style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84,
106);">&nbsp;</span></p>
<p class="MsoNormal"
style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84,
106);">Please post
photos and updates on
the wiki</span></p>
<p class="MsoNormal"
style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84,
106);">&nbsp;</span></p>
<p class="MsoNormal"
style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84,
106);">Thanks!<br>
<br>
Andrew Lynch</span></p>
<p class="MsoNormal"
style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84,
106);">&nbsp;</span></p>
<div style="border-width:
medium medium medium
1.5pt; border-style: none
none none solid;
border-color:
-moz-use-text-color
-moz-use-text-color
-moz-use-text-color blue;
padding: 0in 0in 0in 4pt;">
<div>
<div
style="border-right:
medium none;
border-width: 1pt
medium medium;
border-style: solid
none none;
border-color: rgb(181,
196, 223)
-moz-use-text-color
-moz-use-text-color;
padding: 3pt 0in 0in;">
<p class="MsoNormal"
style=""><b><span
style="font-size:
10pt;
font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size:
10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;"> <a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a>
[<a class="moz-txt-link-freetext" href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>]
<b>On Behalf Of </b>yoda<br>
<b>Sent:</b>
Monday, December
15, 2014 12:36 PM<br>
<b>To:</b>
<a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b>
[N8VEM: 19098] Re:
An MC68030 SBC
Design</span></p>
</div>
</div>
<p class="MsoNormal"
style="">&nbsp;</p>
<div>
<p class="MsoNormal"
style="">The Gryphon
roars!!!</p>
<div>
<p class="MsoNormal"
style="">&nbsp;</p>
</div>
<div>
<p class="MsoNormal"
style="">I have now
successfully gotten
the board to send
and receive
characters on the
mc68681 chip and the
SRAM passes basic
memory testing so
now I can have a
stack and variables
and can start using
C for programming.</p>
</div>
<div>
<p class="MsoNormal"
style="">&nbsp;</p>
</div>
<div>
<p class="MsoNormal"
style="">There are a
few patches that are
necessary for things
to work. &nbsp;I will be
posting them to the
wiki in the next few
days. &nbsp;I want to
redo one of them
differently as the
way I did it works
but may cause
problems later when
I turn on caching.
&nbsp;I have CDIS*
jumper'd at the
moment, because John
Coffman reminded me
that all I/O must be
cache inhibited.
&nbsp;Right now CIN* is
pulled HI - I think
I can remedy that
with another patch
(enough logic still
left in the GALs) so
I need to look at
that one. &nbsp;I quickly
tried the DRAM last
night but it failed
so I will have to
pull out Mr
LogicAnalyzer and
see what is going
on. &nbsp;</p>
</div>
<div>
<p class="MsoNormal"
style="">&nbsp;</p>
</div>
<div>
<p class="MsoNormal"
style="">Just
thought I would give
a quick update - the
problem I had with
the MC68681 turned
out to be that the
68030 can write
commands to it too
fast and I had to
put some NOPs in the
initialization
section only. &nbsp;I
found that hidden in
one of the data
sheets - not
mentioned in any of
the Motorola
datasheets but was
in a Signetics data
sheet.</p>
</div>
</div>
<p class="MsoNormal"
style="">-- <br>
You received this
message because you are
subscribed to the Google
Groups "N8VEM" group.<br>
To unsubscribe from this
group and stop receiving
emails from it, send an
email to <a
moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group,
send email to <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a
moz-do-not-send="true"
href="http://groups.google.com/group/n8vem" target="_blank">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit
<a
moz-do-not-send="true"
href="https://groups.google.com/d/optout" target="_blank">https://groups.google.com/d/optout</a>.</p>
</div>
</div>
</div>
</div>
</div>
<p class="MsoNormal" style="">-- <br>
You received this message because
you are subscribed to the Google
Groups "N8VEM" group.<br>
To unsubscribe from this group and
stop receiving emails from it,
send an email to <a
moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email
to <a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a
moz-do-not-send="true"
href="http://groups.google.com/group/n8vem"
target="_blank">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a
moz-do-not-send="true"
href="https://groups.google.com/d/optout"
target="_blank">https://groups.google.com/d/optout</a>.</p>
</div>
</div>
</div>
</div>
</div>
<p class="MsoNormal" style="">-- <br>
You received this message because you are
subscribed to the Google Groups "N8VEM"
group.<br>
To unsubscribe from this group and stop
receiving emails from it, send an email to <a
moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a
moz-do-not-send="true"
href="http://groups.google.com/group/n8vem"
target="_blank">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a
moz-do-not-send="true"
href="https://groups.google.com/d/optout"
target="_blank">https://groups.google.com/d/optout</a>.</p>
</div>
</div>
</div>
</div>
<p class="MsoNormal" style="">-- <br>
You received this message because you are subscribed
to the Google Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving
emails from it, send an email to <a
moz-do-not-send="true" href="javascript:"
target="_blank">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true" href="javascript:"
target="_blank">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem"
target="_blank">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout"
target="_blank">https://groups.google.com/d/optout</a>.</p>
</div>
</div>
</div>
</div>
<p class="MsoNormal">-- <br>
You received this message because you are subscribed to the
Google Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving emails
from it, send an email to <a moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.</p>
</div>
</blockquote>
<br>
<br>
<br>
-- <br>
You received this message because you are subscribed to the Google
Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving emails from it,
send an email to <a moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<br>
</blockquote>
</body>
</html>

<p></p>

-- <br />
You received this message because you are subscribed to the Google Groups &quot;N8VEM&quot; group.<br />
To unsubscribe from this group and stop receiving emails from it, send an email to <a href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br />
To post to this group, send email to <a href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br />
Visit this group at <a href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br />
For more options, visit <a href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<br />
Kip Koon
2015-01-22 07:49:01 UTC
Permalink
John,

Very interesting! What is the preferred method of stripping tiny wirewrap wire in preparation for soldering. 31 gauge is so small that I think I just used my teeth or finger nails when I did the first Multicomp PCB.



Kip Koon

***@sc.rr.com

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of John Coffman
Sent: Wednesday, January 21, 2015 5:32 AM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19234] Re: An MC68030 SBC Design



In industrial practice, the use of sharp wire strippers that can nick the wire is strictly forbidden. We used to use "thermal" strippers, which consist of two hot Nichrome wires that melt the insulation so it can be pulled off. Actually, the hot stripper is faster and easier to use. I have not seen one of these in many years.

FYI: the Apollo guidance computer and the IBM System/360 computers, both from the 1960s, were all wire-wrapped. Ten turns around a sharp, square, gold-plated post gives 40 points of contact. IBM found this to be an extremely reliable method of connecting components; hence, its use on the Apollo moon missions.

--John







On 01/20/2015 11:24 PM, James Moxham wrote:

I like the wire wrap wire too, and most of my boards have a few corrections/links on them. I tend to leave the wires longer than necessary so they can be moved out of the way when working on one area and yes it looks like a rats nest but it works fine.



but since it breaks so easily ... One comment about wires breaking - stripping wire wrap wire with wire cutters it is very easy to weaken the wire and then it breaks later at this point. Years ago I got a wire wrapping tool that had a stripper in it designed for this wire, and I don't wire wrap now, but for point to point soldering with wire wrap wire, that little stripper is extremely useful. I don't know if you can still buy them anywhere?



Cheers, James





On Wed, 21 Jan 2015 17:44:51 +1030, Kip Koon <mailto:***@sc.rr.com> <***@sc.rr.com> wrote:



Yoda,

Thank you so much for your advice. I really appreciate it. Since you are a veteran at building these PCBs, I will apply your suggestions and work on honing my PCB patching skills further. Thank you again.



Kip Koon

***@sc.rr.com

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Tuesday, January 20, 2015 10:52 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19230] Re: An MC68030 SBC Design



Well wire wrap has a pretty tough coating and that is what I have seen done professionally and I have had no issues with it. I don't try to make tight connections that is why mine look like a rat's nest, but it is not brittle it is kynar which seems to be very flexible. I think 20 gauge would be harder to route around the board. You can get wire wrap wire in different colors - it might not be as cheap as other wire but it seems very reliable and I have a bunch around for doing wire wrap boards which I don't do much any more.



I generally order sockets in large quantities - I think you see the 75 dollar minimum when you start putting things into the cart for checkout. I generally have 4 or 5 boards that I am working on so I try to keep a good supply on hand. Making a lot of small orders tend to cost more in shipping charges so it worth planning ahead.

On Tuesday, January 20, 2015 at 8:10:57 PM UTC-6, computerdoc wrote:

Yoda,

The 31 gauge breaks too easily in my limited experience. I’ve only tried three PCB corrections so far. I’ve been hold off modifying the Gryphon yet until I can get that original Multicomp prototype’s three corrections fully functional.

I’m wondering if 24 or 26 gauge would be a better choice and more stable. I have various colors of 20 and 31 gauge on hand. I bought the 20 for breadboarding and the 31 for patching PCBs, but since it breaks so easily, I’m wondering if the 20 gauge is a better choice though it seems a bit big to solder to a PCB for making corrections. I’m trying to keep from buying more wire. It’s a bit expensive for me the way I’ve been buying wire.

I will check out phoenixent.com for sockets. The prices look interesting on first look. I’m working on putting together an sample order based on your recommendations. Why do you do $100 orders? There is only a $3 discount and I have not seen the $75 minimum, yet. I might have to be a bit more frugal and order sockets as I need I’m currently putting together an order for parts for my Mark IV build. I want to get it running first, then I’ll go further with the other two builds I’m working on. Maybe I missed something you said concerning ordering in larger quantities. I’ll reread your email over to make sure.

How do you keep the 30 gauge wire from catching on something when you make long runs?

You are probably right on cost. I was going off of what little I knew about buying sockets. The prices on Phoenix seem quite good. I’ll have to do some comparisons and see.



Kip Koon

***@sc.rr.com <javascript:>

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com <javascript:> [mailto:***@googlegroups.com <javascript:> ] On Behalf Of yoda
Sent: Tuesday, January 20, 2015 10:43 AM
To: ***@googlegroups.com <javascript:>
Subject: Re: [N8VEM: 19225] Re: An MC68030 SBC Design



Hi Kip



I found Paul's BOM to be pretty accurate to find chips. I am not sure machined breakaway strips are any cheaper and can cause problems in alignment. I find http://www.phoenixent.com a good place to get machined sockets and plcc sockets. 75 dollar minimum order but order 100 each of 20, 16, 14 pin and you are already there. I order maybe twice a year and generally those type of quantities and use them on all my boards. Just better peace of mind and they look nice on board - they eliminate frustration later. I believe if I recall you need 3 44 pin, 1 68 pin and 1 84 pin plcc socket for Gryphon. The challenge is the 68030 socket. You can find on ebay searching for mc68030 pga socket. Not much selection at the moment - they are 20 dollars for 2 from China and will take a while to get here with Chinese New Year coming up.



I use 30 gauge wire wrap wire for making patches on boards - works well though my patches look like rat's nest - I need to get better at making them look nicer. I would think 31 gauge wire would be OK but I have never heard of that gauge. I think there are around 20 wires now on the back of my Gryphon board.

On Tuesday, January 20, 2015 at 1:49:22 AM UTC-6, computerdoc wrote:

Hi Yoda!

I have been populating my Gyphon-030 for a while now. Funds are slow to come. All resistors except one and all capacitors are now completely installed. All dip sockets are installed with machined breakaway strips. It’s much cheaper. The next thing to do is order the PLCC sockets. I’m having to count the pins to figure out which PLCC sockets I need.

I have begun building 3 SBCs from N8VEM, the Gryphon, the Mark IV and the N8. If BOMs had the PLCCxx socket sizes specified, that would be a great help for new builders like myself. I’m new to PLCCs so I’m still in the learning stage. I just ordered some PLCC64 sockets for my Mark IV SBC the other day as a matter of fact.

I could also really use complete part numbers for the PLCC chips noted on the BOMs so I can research costs. You N8VEM seasoned veterans know what you all are talking about. Me? Not so much, yet. I have to do a lot of research on the internet to figure out what parts the BOMs are referring too. I’m pretty good with resistors and capacitors and I good with 74LS logic only, but the bigger chips I’m having to think hard on. You can probably see the steam coming out of my ears! :P

As an example, I searched for Z8S180 on ebay the other day for my Mark IV and found NOTHING. It was not until I saw Will’s pictures of his completed Mark IV and read the Z8S18033VSG part number that I could find anything in ebay.

By the way Will, I can’t find that email with pictures of your completed MARK IV PCB. I thought I saved them, but I guess not. Would you please send them to me again? I’m finding that I’m having to reference them quite a bit.

I thought ebay could search using a partial word match?!? I guess not. Anyway, the Gryphon build is on-going. I have 20 gauge and 31 gauge wire on hand. The 20 gauge seems too big and the 31 gauge is definitely too small to make corrections to the Gryphon PCB or any PCB for that matter. What size wire do I need to make corrections on PCBs? I ‘ve tried correcting 3 errors in the very first release of the Multicomp prototype James sent me and I never could make it work. I could desperately use some tutoring on making corrections on PCBs. I think that is everything on my mind at the moment. Keep up the good work.

By the way, I’d like to help you with troubleshooting this PCB, but I need a lot of hand holding to get up to speed. Thank you for all your hard work and help thus far. I really appreciate it.



Kip Koon

***@sc.rr.com

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, January 19, 2015 10:16 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19220] Re: An MC68030 SBC Design



Hi Andrew!!



I have not had much time to work on it lately but am planning to get fired up again. SRAM, UART, ROM, and CPU is working fine. I suspect the parallel port will work with no problem. I am going to work on the IDE port while I mull over the DRAM config. I think the GAL equations for the DRAM are not quite right because the National Semi "Plan Format" is not the same as "normal" format of other compilers. I suspect it doesn't honor the pin assignment logic and the equations ignore the pin logic assignments. I need to sit down with the timing diagrams and verify the equations.



I am not sure breaking it up into separate boards will help a lot. The board is not the difficult to work on. I just struggle with Dram as I have not done anything like that before so it is kind of voodoo to me. A lot easier to connect logic analyzer probes to a single board then spreading amongst multiple boards. What I really need to do is get the errata published so that others can look at it as well. I am not sure what happened with Paul - have not heard from him in a while - I think he is probably caught up in house moving as he sold his house and had to box everything up. If you have spare cycles, it would be nice to get this design into Kicad so we could edit the schematics directly. I think we also need to think about what a version 2 board would look like. I know John Coffman has some ideas and we have been trading emails. I think we might want something a little simpler to start with - and have the ethernet and video possibly be plugin boards or modules as the SMT nature of them might not suit everyone.



I guess what makes it slow is work - have to be in the right frame of mind to sit down and do serious debugging - been drained with work and the new year - I will get back to it soon.



Dave

On Monday, January 19, 2015 at 2:29:34 PM UTC-6, lynchaj wrote:

Hi Dave



Any progress on the Gryphon project? What is the latest?



I was wondering if it would make this project easier to break out the core CPU/SRAM/DRAM/Flash/UART components into a smaller simpler board?



Get those working properly and then integrate in the IO components later or on a separate board.



Thanks



Andrew Lynch



From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, December 29, 2014 4:37 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19164] Re: An MC68030 SBC Design



Hi Andrew!!!



Well I have serial and Flash and SRAM working so far. I have about 7 total errata now counting the ones Paul identified. I want to try to fix one of them different. After I verify that one I will be putting up an errata doc as to what traces need to be cut (3 so far I think) and patch wires. The Dram is giving me fits - I think the logic equations are in correct as I read the National Semi Plan example. If anyone is familiar with that notation and can check it that would be appreciated. I am also working on getting flow control working on serial port - should have that knocked out this week. I am still debating how to generate CIIN for I/O - I am running right now with CDIS* jumpered so there is no caching at the moment.



I hope to have some things posted by New Years - depends on how much I watch football and celebrate. How are you doing?



Dave

On Monday, December 29, 2014 3:03:52 PM UTC-6, lynchaj wrote:

Hi Dave

What’s the latest on the Gryphon build and test?



Please post photos and updates on the wiki



Thanks!

Andrew Lynch



From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, December 15, 2014 12:36 PM
To: ***@googlegroups.com
Subject: [N8VEM: 19098] Re: An MC68030 SBC Design



The Gryphon roars!!!



I have now successfully gotten the board to send and receive characters on the mc68681 chip and the SRAM passes basic memory testing so now I can have a stack and variables and can start using C for programming.



There are a few patches that are necessary for things to work. I will be posting them to the wiki in the next few days. I want to redo one of them differently as the way I did it works but may cause problems later when I turn on caching. I have CDIS* jumper'd at the moment, because John Coffman reminded me that all I/O must be cache inhibited. Right now CIN* is pulled HI - I think I can remedy that with another patch (enough logic still left in the GALs) so I need to look at that one. I quickly tried the DRAM last night but it failed so I will have to pull out Mr LogicAnalyzer and see what is going on.



Just thought I would give a quick update - the problem I had with the MC68681 turned out to be that the 68030 can write commands to it too fast and I had to put some NOPs in the initialization section only. I found that hidden in one of the data sheets - not mentioned in any of the Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com <javascript:> .
To post to this group, send email to ***@googlegroups.com <javascript:> .
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Tom Lafleur
2015-01-22 13:52:44 UTC
Permalink
This is the only tool I've found that will do a great job in stripping wire wrap wire.... For the 30awg Kynar wire I use a .014 tool

http://www.ripley-tools.com/tools.php?tool=No-Nik_Wire&category=Electrical

i~~ _/) ~~~~ _/) ~~~~ _/) ~~~~ _/) ~~i

Tom Lafleur


On Jan 21, 2015, at 11:49 PM, Kip Koon <***@sc.rr.com> wrote:

John,
Very interesting! What is the preferred method of stripping tiny wirewrap wire in preparation for soldering. 31 gauge is so small that I think I just used my teeth or finger nails when I did the first Multicomp PCB.

Kip Koon
***@sc.rr.com
http://www.cocopedia.com/wiki/index.php/Kip_Koon


From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of John Coffman
Sent: Wednesday, January 21, 2015 5:32 AM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19234] Re: An MC68030 SBC Design

In industrial practice, the use of sharp wire strippers that can nick the wire is strictly forbidden. We used to use "thermal" strippers, which consist of two hot Nichrome wires that melt the insulation so it can be pulled off. Actually, the hot stripper is faster and easier to use. I have not seen one of these in many years.

FYI: the Apollo guidance computer and the IBM System/360 computers, both from the 1960s, were all wire-wrapped. Ten turns around a sharp, square, gold-plated post gives 40 points of contact. IBM found this to be an extremely reliable method of connecting components; hence, its use on the Apollo moon missions.

--John







On 01/20/2015 11:24 PM, James Moxham wrote:
I like the wire wrap wire too, and most of my boards have a few corrections/links on them. I tend to leave the wires longer than necessary so they can be moved out of the way when working on one area and yes it looks like a rats nest but it works fine.

but since it breaks so easily ... One comment about wires breaking - stripping wire wrap wire with wire cutters it is very easy to weaken the wire and then it breaks later at this point. Years ago I got a wire wrapping tool that had a stripper in it designed for this wire, and I don't wire wrap now, but for point to point soldering with wire wrap wire, that little stripper is extremely useful. I don't know if you can still buy them anywhere?

Cheers, James


On Wed, 21 Jan 2015 17:44:51 +1030, Kip Koon <***@sc.rr.com> wrote:

Yoda,
Thank you so much for your advice. I really appreciate it. Since you are a veteran at building these PCBs, I will apply your suggestions and work on honing my PCB patching skills further. Thank you again.

Kip Koon
***@sc.rr.com
http://www.cocopedia.com/wiki/index.php/Kip_Koon


From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Tuesday, January 20, 2015 10:52 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19230] Re: An MC68030 SBC Design

Well wire wrap has a pretty tough coating and that is what I have seen done professionally and I have had no issues with it. I don't try to make tight connections that is why mine look like a rat's nest, but it is not brittle it is kynar which seems to be very flexible. I think 20 gauge would be harder to route around the board. You can get wire wrap wire in different colors - it might not be as cheap as other wire but it seems very reliable and I have a bunch around for doing wire wrap boards which I don't do much any more.

I generally order sockets in large quantities - I think you see the 75 dollar minimum when you start putting things into the cart for checkout. I generally have 4 or 5 boards that I am working on so I try to keep a good supply on hand. Making a lot of small orders tend to cost more in shipping charges so it worth planning ahead.

On Tuesday, January 20, 2015 at 8:10:57 PM UTC-6, computerdoc wrote:
Yoda,
The 31 gauge breaks too easily in my limited experience. I’ve only tried three PCB corrections so far. I’ve been hold off modifying the Gryphon yet until I can get that original Multicomp prototype’s three corrections fully functional.
I’m wondering if 24 or 26 gauge would be a better choice and more stable. I have various colors of 20 and 31 gauge on hand. I bought the 20 for breadboarding and the 31 for patching PCBs, but since it breaks so easily, I’m wondering if the 20 gauge is a better choice though it seems a bit big to solder to a PCB for making corrections. I’m trying to keep from buying more wire. It’s a bit expensive for me the way I’ve been buying wire.
I will check out phoenixent.com for sockets. The prices look interesting on first look. I’m working on putting together an sample order based on your recommendations. Why do you do $100 orders? There is only a $3 discount and I have not seen the $75 minimum, yet. I might have to be a bit more frugal and order sockets as I need I’m currently putting together an order for parts for my Mark IV build. I want to get it running first, then I’ll go further with the other two builds I’m working on. Maybe I missed something you said concerning ordering in larger quantities. I’ll reread your email over to make sure.
How do you keep the 30 gauge wire from catching on something when you make long runs?
You are probably right on cost. I was going off of what little I knew about buying sockets. The prices on Phoenix seem quite good. I’ll have to do some comparisons and see.

Kip Koon
***@sc.rr.com
http://www.cocopedia.com/wiki/index.php/Kip_Koon


From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Tuesday, January 20, 2015 10:43 AM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19225] Re: An MC68030 SBC Design

Hi Kip

I found Paul's BOM to be pretty accurate to find chips. I am not sure machined breakaway strips are any cheaper and can cause problems in alignment. I find http://www.phoenixent.com a good place to get machined sockets and plcc sockets. 75 dollar minimum order but order 100 each of 20, 16, 14 pin and you are already there. I order maybe twice a year and generally those type of quantities and use them on all my boards. Just better peace of mind and they look nice on board - they eliminate frustration later. I believe if I recall you need 3 44 pin, 1 68 pin and 1 84 pin plcc socket for Gryphon. The challenge is the 68030 socket. You can find on ebay searching for mc68030 pga socket. Not much selection at the moment - they are 20 dollars for 2 from China and will take a while to get here with Chinese New Year coming up.

I use 30 gauge wire wrap wire for making patches on boards - works well though my patches look like rat's nest - I need to get better at making them look nicer. I would think 31 gauge wire would be OK but I have never heard of that gauge. I think there are around 20 wires now on the back of my Gryphon board.

On Tuesday, January 20, 2015 at 1:49:22 AM UTC-6, computerdoc wrote:
Hi Yoda!
I have been populating my Gyphon-030 for a while now. Funds are slow to come. All resistors except one and all capacitors are now completely installed. All dip sockets are installed with machined breakaway strips. It’s much cheaper. The next thing to do is order the PLCC sockets. I’m having to count the pins to figure out which PLCC sockets I need.
I have begun building 3 SBCs from N8VEM, the Gryphon, the Mark IV and the N8. If BOMs had the PLCCxx socket sizes specified, that would be a great help for new builders like myself. I’m new to PLCCs so I’m still in the learning stage. I just ordered some PLCC64 sockets for my Mark IV SBC the other day as a matter of fact.
I could also really use complete part numbers for the PLCC chips noted on the BOMs so I can research costs. You N8VEM seasoned veterans know what you all are talking about. Me? Not so much, yet. I have to do a lot of research on the internet to figure out what parts the BOMs are referring too. I’m pretty good with resistors and capacitors and I good with 74LS logic only, but the bigger chips I’m having to think hard on. You can probably see the steam coming out of my ears! :P
As an example, I searched for Z8S180 on ebay the other day for my Mark IV and found NOTHING. It was not until I saw Will’s pictures of his completed Mark IV and read the Z8S18033VSG part number that I could find anything in ebay.
By the way Will, I can’t find that email with pictures of your completed MARK IV PCB. I thought I saved them, but I guess not. Would you please send them to me again? I’m finding that I’m having to reference them quite a bit.
I thought ebay could search using a partial word match?!? I guess not. Anyway, the Gryphon build is on-going. I have 20 gauge and 31 gauge wire on hand. The 20 gauge seems too big and the 31 gauge is definitely too small to make corrections to the Gryphon PCB or any PCB for that matter. What size wire do I need to make corrections on PCBs? I ‘ve tried correcting 3 errors in the very first release of the Multicomp prototype James sent me and I never could make it work. I could desperately use some tutoring on making corrections on PCBs. I think that is everything on my mind at the moment. Keep up the good work.
By the way, I’d like to help you with troubleshooting this PCB, but I need a lot of hand holding to get up to speed. Thank you for all your hard work and help thus far. I really appreciate it.

Kip Koon
***@sc.rr.com
http://www.cocopedia.com/wiki/index.php/Kip_Koon


From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, January 19, 2015 10:16 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19220] Re: An MC68030 SBC Design

Hi Andrew!!

I have not had much time to work on it lately but am planning to get fired up again. SRAM, UART, ROM, and CPU is working fine. I suspect the parallel port will work with no problem. I am going to work on the IDE port while I mull over the DRAM config. I think the GAL equations for the DRAM are not quite right because the National Semi "Plan Format" is not the same as "normal" format of other compilers. I suspect it doesn't honor the pin assignment logic and the equations ignore the pin logic assignments. I need to sit down with the timing diagrams and verify the equations.

I am not sure breaking it up into separate boards will help a lot. The board is not the difficult to work on. I just struggle with Dram as I have not done anything like that before so it is kind of voodoo to me. A lot easier to connect logic analyzer probes to a single board then spreading amongst multiple boards. What I really need to do is get the errata published so that others can look at it as well. I am not sure what happened with Paul - have not heard from him in a while - I think he is probably caught up in house moving as he sold his house and had to box everything up. If you have spare cycles, it would be nice to get this design into Kicad so we could edit the schematics directly. I think we also need to think about what a version 2 board would look like. I know John Coffman has some ideas and we have been trading emails. I think we might want something a little simpler to start with - and have the ethernet and video possibly be plugin boards or modules as the SMT nature of them might not suit everyone.

I guess what makes it slow is work - have to be in the right frame of mind to sit down and do serious debugging - been drained with work and the new year - I will get back to it soon.

Dave

On Monday, January 19, 2015 at 2:29:34 PM UTC-6, lynchaj wrote:
Hi Dave

Any progress on the Gryphon project? What is the latest?

I was wondering if it would make this project easier to break out the core CPU/SRAM/DRAM/Flash/UART components into a smaller simpler board?

Get those working properly and then integrate in the IO components later or on a separate board.

Thanks

Andrew Lynch

From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, December 29, 2014 4:37 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19164] Re: An MC68030 SBC Design

Hi Andrew!!!

Well I have serial and Flash and SRAM working so far. I have about 7 total errata now counting the ones Paul identified. I want to try to fix one of them different. After I verify that one I will be putting up an errata doc as to what traces need to be cut (3 so far I think) and patch wires. The Dram is giving me fits - I think the logic equations are in correct as I read the National Semi Plan example. If anyone is familiar with that notation and can check it that would be appreciated. I am also working on getting flow control working on serial port - should have that knocked out this week. I am still debating how to generate CIIN for I/O - I am running right now with CDIS* jumpered so there is no caching at the moment.

I hope to have some things posted by New Years - depends on how much I watch football and celebrate. How are you doing?

Dave

On Monday, December 29, 2014 3:03:52 PM UTC-6, lynchaj wrote:
Hi Dave
What’s the latest on the Gryphon build and test?

Please post photos and updates on the wiki

Thanks!

Andrew Lynch

From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, December 15, 2014 12:36 PM
To: ***@googlegroups.com
Subject: [N8VEM: 19098] Re: An MC68030 SBC Design

The Gryphon roars!!!

I have now successfully gotten the board to send and receive characters on the mc68681 chip and the SRAM passes basic memory testing so now I can have a stack and variables and can start using C for programming.

There are a few patches that are necessary for things to work. I will be posting them to the wiki in the next few days. I want to redo one of them differently as the way I did it works but may cause problems later when I turn on caching. I have CDIS* jumper'd at the moment, because John Coffman reminded me that all I/O must be cache inhibited. Right now CIN* is pulled HI - I think I can remedy that with another patch (enough logic still left in the GALs) so I need to look at that one. I quickly tried the DRAM last night but it failed so I will have to pull out Mr LogicAnalyzer and see what is going on.

Just thought I would give a quick update - the problem I had with the MC68681 turned out to be that the 68030 can write commands to it too fast and I had to put some NOPs in the initialization section only. I found that hidden in one of the data sheets - not mentioned in any of the Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Tom Lafleur
2015-01-22 14:21:32 UTC
Permalink
Another vendor...

http://www.hmcelectronics.com/product/Clauss/NN014


i~~ _/) ~~~~ _/) ~~~~ _/) ~~~~ _/) ~~i

Tom Lafleur


On Jan 22, 2015, at 5:52 AM, Tom Lafleur <***@lafleur.us> wrote:

This is the only tool I've found that will do a great job in stripping wire wrap wire.... For the 30awg Kynar wire I use a .014 tool

http://www.ripley-tools.com/tools.php?tool=No-Nik_Wire&category=Electrical

i~~ _/) ~~~~ _/) ~~~~ _/) ~~~~ _/) ~~i

Tom Lafleur


On Jan 21, 2015, at 11:49 PM, Kip Koon <***@sc.rr.com> wrote:

John,
Very interesting! What is the preferred method of stripping tiny wirewrap wire in preparation for soldering. 31 gauge is so small that I think I just used my teeth or finger nails when I did the first Multicomp PCB.

Kip Koon
***@sc.rr.com
http://www.cocopedia.com/wiki/index.php/Kip_Koon


From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of John Coffman
Sent: Wednesday, January 21, 2015 5:32 AM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19234] Re: An MC68030 SBC Design

In industrial practice, the use of sharp wire strippers that can nick the wire is strictly forbidden. We used to use "thermal" strippers, which consist of two hot Nichrome wires that melt the insulation so it can be pulled off. Actually, the hot stripper is faster and easier to use. I have not seen one of these in many years.

FYI: the Apollo guidance computer and the IBM System/360 computers, both from the 1960s, were all wire-wrapped. Ten turns around a sharp, square, gold-plated post gives 40 points of contact. IBM found this to be an extremely reliable method of connecting components; hence, its use on the Apollo moon missions.

--John







On 01/20/2015 11:24 PM, James Moxham wrote:
I like the wire wrap wire too, and most of my boards have a few corrections/links on them. I tend to leave the wires longer than necessary so they can be moved out of the way when working on one area and yes it looks like a rats nest but it works fine.

but since it breaks so easily ... One comment about wires breaking - stripping wire wrap wire with wire cutters it is very easy to weaken the wire and then it breaks later at this point. Years ago I got a wire wrapping tool that had a stripper in it designed for this wire, and I don't wire wrap now, but for point to point soldering with wire wrap wire, that little stripper is extremely useful. I don't know if you can still buy them anywhere?

Cheers, James


On Wed, 21 Jan 2015 17:44:51 +1030, Kip Koon <***@sc.rr.com> wrote:

Yoda,
Thank you so much for your advice. I really appreciate it. Since you are a veteran at building these PCBs, I will apply your suggestions and work on honing my PCB patching skills further. Thank you again.

Kip Koon
***@sc.rr.com
http://www.cocopedia.com/wiki/index.php/Kip_Koon


From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Tuesday, January 20, 2015 10:52 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19230] Re: An MC68030 SBC Design

Well wire wrap has a pretty tough coating and that is what I have seen done professionally and I have had no issues with it. I don't try to make tight connections that is why mine look like a rat's nest, but it is not brittle it is kynar which seems to be very flexible. I think 20 gauge would be harder to route around the board. You can get wire wrap wire in different colors - it might not be as cheap as other wire but it seems very reliable and I have a bunch around for doing wire wrap boards which I don't do much any more.

I generally order sockets in large quantities - I think you see the 75 dollar minimum when you start putting things into the cart for checkout. I generally have 4 or 5 boards that I am working on so I try to keep a good supply on hand. Making a lot of small orders tend to cost more in shipping charges so it worth planning ahead.

On Tuesday, January 20, 2015 at 8:10:57 PM UTC-6, computerdoc wrote:
Yoda,
The 31 gauge breaks too easily in my limited experience. I’ve only tried three PCB corrections so far. I’ve been hold off modifying the Gryphon yet until I can get that original Multicomp prototype’s three corrections fully functional.
I’m wondering if 24 or 26 gauge would be a better choice and more stable. I have various colors of 20 and 31 gauge on hand. I bought the 20 for breadboarding and the 31 for patching PCBs, but since it breaks so easily, I’m wondering if the 20 gauge is a better choice though it seems a bit big to solder to a PCB for making corrections. I’m trying to keep from buying more wire. It’s a bit expensive for me the way I’ve been buying wire.
I will check out phoenixent.com for sockets. The prices look interesting on first look. I’m working on putting together an sample order based on your recommendations. Why do you do $100 orders? There is only a $3 discount and I have not seen the $75 minimum, yet. I might have to be a bit more frugal and order sockets as I need I’m currently putting together an order for parts for my Mark IV build. I want to get it running first, then I’ll go further with the other two builds I’m working on. Maybe I missed something you said concerning ordering in larger quantities. I’ll reread your email over to make sure.
How do you keep the 30 gauge wire from catching on something when you make long runs?
You are probably right on cost. I was going off of what little I knew about buying sockets. The prices on Phoenix seem quite good. I’ll have to do some comparisons and see.

Kip Koon
***@sc.rr.com
http://www.cocopedia.com/wiki/index.php/Kip_Koon


From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Tuesday, January 20, 2015 10:43 AM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19225] Re: An MC68030 SBC Design

Hi Kip

I found Paul's BOM to be pretty accurate to find chips. I am not sure machined breakaway strips are any cheaper and can cause problems in alignment. I find http://www.phoenixent.com a good place to get machined sockets and plcc sockets. 75 dollar minimum order but order 100 each of 20, 16, 14 pin and you are already there. I order maybe twice a year and generally those type of quantities and use them on all my boards. Just better peace of mind and they look nice on board - they eliminate frustration later. I believe if I recall you need 3 44 pin, 1 68 pin and 1 84 pin plcc socket for Gryphon. The challenge is the 68030 socket. You can find on ebay searching for mc68030 pga socket. Not much selection at the moment - they are 20 dollars for 2 from China and will take a while to get here with Chinese New Year coming up.

I use 30 gauge wire wrap wire for making patches on boards - works well though my patches look like rat's nest - I need to get better at making them look nicer. I would think 31 gauge wire would be OK but I have never heard of that gauge. I think there are around 20 wires now on the back of my Gryphon board.

On Tuesday, January 20, 2015 at 1:49:22 AM UTC-6, computerdoc wrote:
Hi Yoda!
I have been populating my Gyphon-030 for a while now. Funds are slow to come. All resistors except one and all capacitors are now completely installed. All dip sockets are installed with machined breakaway strips. It’s much cheaper. The next thing to do is order the PLCC sockets. I’m having to count the pins to figure out which PLCC sockets I need.
I have begun building 3 SBCs from N8VEM, the Gryphon, the Mark IV and the N8. If BOMs had the PLCCxx socket sizes specified, that would be a great help for new builders like myself. I’m new to PLCCs so I’m still in the learning stage. I just ordered some PLCC64 sockets for my Mark IV SBC the other day as a matter of fact.
I could also really use complete part numbers for the PLCC chips noted on the BOMs so I can research costs. You N8VEM seasoned veterans know what you all are talking about. Me? Not so much, yet. I have to do a lot of research on the internet to figure out what parts the BOMs are referring too. I’m pretty good with resistors and capacitors and I good with 74LS logic only, but the bigger chips I’m having to think hard on. You can probably see the steam coming out of my ears! :P
As an example, I searched for Z8S180 on ebay the other day for my Mark IV and found NOTHING. It was not until I saw Will’s pictures of his completed Mark IV and read the Z8S18033VSG part number that I could find anything in ebay.
By the way Will, I can’t find that email with pictures of your completed MARK IV PCB. I thought I saved them, but I guess not. Would you please send them to me again? I’m finding that I’m having to reference them quite a bit.
I thought ebay could search using a partial word match?!? I guess not. Anyway, the Gryphon build is on-going. I have 20 gauge and 31 gauge wire on hand. The 20 gauge seems too big and the 31 gauge is definitely too small to make corrections to the Gryphon PCB or any PCB for that matter. What size wire do I need to make corrections on PCBs? I ‘ve tried correcting 3 errors in the very first release of the Multicomp prototype James sent me and I never could make it work. I could desperately use some tutoring on making corrections on PCBs. I think that is everything on my mind at the moment. Keep up the good work.
By the way, I’d like to help you with troubleshooting this PCB, but I need a lot of hand holding to get up to speed. Thank you for all your hard work and help thus far. I really appreciate it.

Kip Koon
***@sc.rr.com
http://www.cocopedia.com/wiki/index.php/Kip_Koon


From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, January 19, 2015 10:16 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19220] Re: An MC68030 SBC Design

Hi Andrew!!

I have not had much time to work on it lately but am planning to get fired up again. SRAM, UART, ROM, and CPU is working fine. I suspect the parallel port will work with no problem. I am going to work on the IDE port while I mull over the DRAM config. I think the GAL equations for the DRAM are not quite right because the National Semi "Plan Format" is not the same as "normal" format of other compilers. I suspect it doesn't honor the pin assignment logic and the equations ignore the pin logic assignments. I need to sit down with the timing diagrams and verify the equations.

I am not sure breaking it up into separate boards will help a lot. The board is not the difficult to work on. I just struggle with Dram as I have not done anything like that before so it is kind of voodoo to me. A lot easier to connect logic analyzer probes to a single board then spreading amongst multiple boards. What I really need to do is get the errata published so that others can look at it as well. I am not sure what happened with Paul - have not heard from him in a while - I think he is probably caught up in house moving as he sold his house and had to box everything up. If you have spare cycles, it would be nice to get this design into Kicad so we could edit the schematics directly. I think we also need to think about what a version 2 board would look like. I know John Coffman has some ideas and we have been trading emails. I think we might want something a little simpler to start with - and have the ethernet and video possibly be plugin boards or modules as the SMT nature of them might not suit everyone.

I guess what makes it slow is work - have to be in the right frame of mind to sit down and do serious debugging - been drained with work and the new year - I will get back to it soon.

Dave

On Monday, January 19, 2015 at 2:29:34 PM UTC-6, lynchaj wrote:
Hi Dave

Any progress on the Gryphon project? What is the latest?

I was wondering if it would make this project easier to break out the core CPU/SRAM/DRAM/Flash/UART components into a smaller simpler board?

Get those working properly and then integrate in the IO components later or on a separate board.

Thanks

Andrew Lynch

From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, December 29, 2014 4:37 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19164] Re: An MC68030 SBC Design

Hi Andrew!!!

Well I have serial and Flash and SRAM working so far. I have about 7 total errata now counting the ones Paul identified. I want to try to fix one of them different. After I verify that one I will be putting up an errata doc as to what traces need to be cut (3 so far I think) and patch wires. The Dram is giving me fits - I think the logic equations are in correct as I read the National Semi Plan example. If anyone is familiar with that notation and can check it that would be appreciated. I am also working on getting flow control working on serial port - should have that knocked out this week. I am still debating how to generate CIIN for I/O - I am running right now with CDIS* jumpered so there is no caching at the moment.

I hope to have some things posted by New Years - depends on how much I watch football and celebrate. How are you doing?

Dave

On Monday, December 29, 2014 3:03:52 PM UTC-6, lynchaj wrote:
Hi Dave
What’s the latest on the Gryphon build and test?

Please post photos and updates on the wiki

Thanks!

Andrew Lynch

From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, December 15, 2014 12:36 PM
To: ***@googlegroups.com
Subject: [N8VEM: 19098] Re: An MC68030 SBC Design

The Gryphon roars!!!

I have now successfully gotten the board to send and receive characters on the mc68681 chip and the SRAM passes basic memory testing so now I can have a stack and variables and can start using C for programming.

There are a few patches that are necessary for things to work. I will be posting them to the wiki in the next few days. I want to redo one of them differently as the way I did it works but may cause problems later when I turn on caching. I have CDIS* jumper'd at the moment, because John Coffman reminded me that all I/O must be cache inhibited. Right now CIN* is pulled HI - I think I can remedy that with another patch (enough logic still left in the GALs) so I need to look at that one. I quickly tried the DRAM last night but it failed so I will have to pull out Mr LogicAnalyzer and see what is going on.

Just thought I would give a quick update - the problem I had with the MC68681 turned out to be that the 68030 can write commands to it too fast and I had to put some NOPs in the initialization section only. I found that hidden in one of the data sheets - not mentioned in any of the Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
John Coffman
2015-01-23 02:10:44 UTC
Permalink
<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN">
<html>
<head>
<meta content="text/html; charset=ISO-8859-1"
http-equiv="Content-Type">
</head>
<body bgcolor="#ffffff" text="#000000">
Kip,<br>
<br>
I'm sure your dentist would not approve of using teeth; but if
finger nails work, consider that generally you don't have too many
patch wires to attach.<br>
<br>
I still use wire strippers, but I have a pair with an adjusting
screw that prevents them from closing down all the way.&nbsp; I still get
nicked and then broken wires from time to time, so I'm not following
my own advice.&nbsp; Often, I don't cut the insulation all the way
through to the wire; just far enough that a tug will break the part
to be removed free from the wire.&nbsp; This can be tricky with very
short wires, though.<br>
<br>
Avoiding those nicks in such tiny wire is most important, as, I
gather, you have learned.<br>
<br>
BTW:&nbsp; I've installed fixes to board another way, all on the top of
the board.&nbsp; Rather than cut a trace, remove an IC from the socket
and bend the offending pin upward.&nbsp; Upon replacing the IC, this
makes a post to attach a wire to using a hand wire-wrap tool.&nbsp;
Connections can be made (#30 wire) by inserting the wire into a
socket so that an existing IC pin clamps it against the socket
contact.&nbsp; (This works for dual wipe sockets, but not for
machined-tool sockets.)&nbsp; Attaching a wire to a socket where a pin
has been bent up is another matter.&nbsp; Often the contact wipes no
longer come together.&nbsp; In this case, often the wire can be clipped
between the plastic housing and the outer wipe.<br>
<br>
Although some fixes absolutely require cutting traces and soldering,
the method of altering the connections between IC's described above
has proved to be simple, reliable, and quicker than soldering.<br>
<br>
--John<br>
<br>
<br>
<br>
<br>
On 01/21/2015 11:49 PM, Kip Koon wrote:
<blockquote cite="mid:000e01d03617$f0109270$d031b750$@sc.rr.com"
type="cite">
<meta http-equiv="Content-Type" content="text/html;
charset=ISO-8859-1">
<meta name="Generator" content="Microsoft Word 14 (filtered
medium)">
<style><!--
/* Font Definitions */
@font-face
{font-family:Calibri;
panose-1:2 15 5 2 2 2 4 3 2 4;}
@font-face
{font-family:Tahoma;
panose-1:2 11 6 4 3 5 4 4 2 4;}
/* Style Definitions */
p.MsoNormal, li.MsoNormal, div.MsoNormal
{margin:0in;
margin-bottom:.0001pt;
font-size:12.0pt;
font-family:"Times New Roman","serif";
color:black;}
a:link, span.MsoHyperlink
{mso-style-priority:99;
color:blue;
text-decoration:underline;}
a:visited, span.MsoHyperlinkFollowed
{mso-style-priority:99;
color:purple;
text-decoration:underline;}
p
{mso-style-priority:99;
mso-margin-top-alt:auto;
margin-right:0in;
mso-margin-bottom-alt:auto;
margin-left:0in;
font-size:12.0pt;
font-family:"Times New Roman","serif";
color:black;}
tt
{mso-style-priority:99;
font-family:"Courier New";}
p.MsoAcetate, li.MsoAcetate, div.MsoAcetate
{mso-style-priority:99;
mso-style-link:"Balloon Text Char";
margin:0in;
margin-bottom:.0001pt;
font-size:8.0pt;
font-family:"Tahoma","sans-serif";
color:black;}
span.BalloonTextChar
{mso-style-name:"Balloon Text Char";
mso-style-priority:99;
mso-style-link:"Balloon Text";
font-family:"Tahoma","sans-serif";}
span.EmailStyle21
{mso-style-type:personal;
font-family:"Calibri","sans-serif";
color:#1F497D;}
span.EmailStyle22
{mso-style-type:personal-reply;
font-family:"Calibri","sans-serif";
color:#1F497D;}
.MsoChpDefault
{mso-style-type:export-only;
font-size:10.0pt;}
@page WordSection1
{size:8.5in 11.0in;
margin:1.0in 1.0in 1.0in 1.0in;}
div.WordSection1
{page:WordSection1;}
--></style><!--[if gte mso 9]><xml>
<o:shapedefaults v:ext="edit" spidmax="1026" />
</xml><![endif]--><!--[if gte mso 9]><xml>
<o:shapelayout v:ext="edit">
<o:idmap v:ext="edit" data="1" />
</o:shapelayout></xml><![endif]-->
<div class="WordSection1">
<p class="MsoNormal"><span style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);">John,<o:p></o:p></span></p>
<p class="MsoNormal"><span style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);">Very interesting!&Acirc;&nbsp; What is the preferred method
of stripping tiny wirewrap wire in preparation for
soldering.&Acirc;&nbsp; 31 gauge is so small that I think I just used
my teeth or finger nails when I did the first Multicomp PCB.<o:p></o:p></span></p>
<p class="MsoNormal"><span style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><o:p>&nbsp;</o:p></span></p>
<div>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);">Kip Koon<o:p></o:p></span></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><a moz-do-not-send="true"
href="mailto:***@sc.rr.com">***@sc.rr.com</a><o:p></o:p></span></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><a moz-do-not-send="true"
href="http://www.cocopedia.com/wiki/index.php/Kip_Koon">http://www.cocopedia.com/wiki/index.php/Kip_Koon</a><o:p></o:p></span></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><o:p>&nbsp;</o:p></span></p>
</div>
<p class="MsoNormal"><span style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><o:p>&nbsp;</o:p></span></p>
<div>
<div style="border-right: medium none; border-width: 1pt
medium medium; border-style: solid none none; border-color:
rgb(181, 196, 223) -moz-use-text-color -moz-use-text-color;
padding: 3pt 0in 0in;">
<p class="MsoNormal"><b><span style="font-size: 10pt;
font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;; color:
windowtext;">From:</span></b><span style="font-size:
10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;; color:
windowtext;"> <a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a>
[<a class="moz-txt-link-freetext" href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>] <b>On Behalf Of </b>John
Coffman<br>
<b>Sent:</b> Wednesday, January 21, 2015 5:32 AM<br>
<b>To:</b> <a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM: 19234] Re: An MC68030 SBC
Design<o:p></o:p></span></p>
</div>
</div>
<p class="MsoNormal"><o:p>&nbsp;</o:p></p>
<p class="MsoNormal"><tt>In industrial practice, the use of
sharp wire strippers that can nick the wire is strictly
forbidden.&nbsp; We used to use "thermal" strippers, which
consist of two hot Nichrome wires that melt the insulation
so it can be pulled off.&nbsp; Actually, the hot stripper is
faster and easier to use.&nbsp; I have not seen one of these in
many years.</tt><span style="font-family: &quot;Courier
New&quot;;"><br>
<br>
<tt>FYI:&nbsp; the Apollo guidance computer and the IBM
System/360 computers, both from the 1960s, were all
wire-wrapped.&nbsp; Ten turns around a sharp, square,
gold-plated post gives 40 points of contact.&nbsp; IBM found
this to be an extremely reliable method of connecting
components; hence, its use on the Apollo moon missions.</tt><br>
<br>
<tt>--John</tt><br>
<br>
<br>
<br>
<br>
<br>
<br>
</span><span style="font-size: 10pt; font-family:
&quot;Courier New&quot;;"><br>
</span>On 01/20/2015 11:24 PM, James Moxham wrote: <o:p></o:p></p>
<div>
<p class="MsoNormal"><span style="font-size: 13.5pt;">I like
the wire wrap wire too, and most of my boards have a few
corrections/links on them. I tend to leave the wires
longer than necessary so they can be moved out of the way
when working on one area and yes it looks like a rats nest
but it works fine.</span><o:p></o:p></p>
</div>
<div>
<p class="MsoNormal"><o:p>&nbsp;</o:p></p>
</div>
<div>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family: &quot;Calibri&quot;,&quot;sans-serif&quot;;">but
since it breaks so easily</span> ... <span
style="font-size: 13.5pt;">One comment about wires
breaking - stripping wire wrap wire with wire cutters it
is very easy to weaken the wire and then it breaks later
at this point. Years ago I got a wire wrapping tool that
had a stripper in it designed for this wire, and I don't
wire wrap now, but for point to point soldering with wire
wrap wire, that little stripper is extremely useful. I
don't know if you can still buy them anywhere?</span><o:p></o:p></p>
</div>
<div>
<p class="MsoNormal"><o:p>&nbsp;</o:p></p>
</div>
<div>
<p class="MsoNormal"><span style="font-size: 13.5pt;">Cheers,
James</span><o:p></o:p></p>
</div>
<div>
<p class="MsoNormal"><o:p>&nbsp;</o:p></p>
</div>
<div>
<p class="MsoNormal"><o:p>&nbsp;</o:p></p>
</div>
<div>
<p class="MsoNormal">On Wed, 21 Jan 2015 17:44:51 +1030, Kip
Koon <a moz-do-not-send="true"
href="mailto:***@sc.rr.com">&lt;***@sc.rr.com&gt;</a>
wrote:<o:p></o:p></p>
</div>
<blockquote style="border-width: medium medium medium 1.5pt;
border-style: none none none solid; border-color:
-moz-use-text-color -moz-use-text-color -moz-use-text-color
blue; padding: 0in 0in 0in 6pt; margin-left: 0in;
margin-right: 0in; margin-bottom: 4.8pt;">
<p class="MsoNormal"><o:p>&nbsp;</o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family: &quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">Yoda,</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family: &quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">Thank you so much for your
advice.&nbsp; I really appreciate it.&nbsp; Since you are a veteran
at building these PCBs, I will apply your suggestions and
work on honing my PCB patching skills further.&nbsp; Thank you
again.</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family: &quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">Kip Koon</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;"><a
moz-do-not-send="true"
href="mailto:***@sc.rr.com">***@sc.rr.com</a></span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;"><a
moz-do-not-send="true"
href="http://www.cocopedia.com/wiki/index.php/Kip_Koon">http://www.cocopedia.com/wiki/index.php/Kip_Koon</a></span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family: &quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><b><span style="font-size: 10pt;
font-family: &quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;"> <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>
[<a moz-do-not-send="true"
href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>]
<b>On Behalf Of </b>yoda<br>
<b>Sent:</b> Tuesday, January 20, 2015 10:52 PM<br>
<b>To:</b> <a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM: 19230] Re: An MC68030 SBC
Design</span><o:p></o:p></p>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
<div>
<p class="MsoNormal">Well wire wrap has a pretty tough
coating and that is what I have seen done professionally
and I have had no issues with it. &nbsp;I don't try to make
tight connections that is why mine look like a rat's nest,
but it is not brittle it is kynar which seems to be very
flexible. &nbsp;I think 20 gauge would be harder to route
around the board. &nbsp;You can get wire wrap wire in different
colors - it might not be as cheap as other wire but it
seems very reliable and I have a bunch around for doing
wire wrap boards which I don't do much any more.<o:p></o:p></p>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">I generally order sockets in large
quantities - I think you see the 75 dollar minimum when
you start putting things into the cart for checkout. &nbsp;I
generally have 4 or 5 boards that I am working on so I
try to keep a good supply on hand. &nbsp;Making a lot of
small orders tend to cost more in shipping charges so it
worth planning ahead.<br>
<br>
On Tuesday, January 20, 2015 at 8:10:57 PM UTC-6,
computerdoc wrote:<o:p></o:p></p>
<div>
<div>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">Yoda,</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">The 31 gauge breaks too easily
in my limited experience.&nbsp; I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;ve only tried
three PCB corrections so far.&nbsp; I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;ve been
hold off modifying the Gryphon yet until I can get
that original Multicomp prototype&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;s three
corrections fully functional.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;m wondering if 24 or
26 gauge would be a better choice and more
stable.&nbsp; I have various colors of 20 and 31 gauge
on hand.&nbsp; I bought the 20 for breadboarding and
the 31 for patching PCBs, but since it breaks so
easily, I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;m wondering if the 20 gauge is a
better choice though it seems a bit big to solder
to a PCB for making corrections.&nbsp; I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;m
trying to keep from buying more wire.&nbsp; It&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;s
a bit expensive for me the way I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;ve been
buying wire.</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">I will check out <a
moz-do-not-send="true"
href="http://phoenixent.com" target="_blank">phoenixent.com</a>
for sockets.&nbsp; The prices look interesting on first
look.&nbsp; I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;m working on putting together an
sample order based on your recommendations.&nbsp; Why
do you do $100 orders?&nbsp; There is only a $3
discount and I have not seen the $75 minimum,
yet.&nbsp; I might have to be a bit more frugal and
order sockets as I need&nbsp; I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;m currently
putting together an order for parts for my Mark IV
build.&nbsp; I want to get it running first, then
I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;ll go further with the other two builds
I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;m working on.&nbsp; Maybe I missed something
you said concerning ordering in larger
quantities.&nbsp; I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;ll reread your email over to
make sure.</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">How do you keep the 30 gauge
wire from catching on something when you make long
runs?</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">You are probably right on
cost.&nbsp; I was going off of what little I knew about
buying sockets.&nbsp; The prices on Phoenix seem quite
good.&nbsp; I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;ll have to do some comparisons and
see. </span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">Kip Koon</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);"><a moz-do-not-send="true"
href="javascript:" target="_blank">***@sc.rr.com</a></span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);"><a moz-do-not-send="true"
href="http://www.cocopedia.com/wiki/index.php/Kip_Koon"
target="_blank">http://www.cocopedia.com/wiki/index.php/Kip_Koon</a></span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><b><span style="font-size: 10pt;
font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;"> <a
moz-do-not-send="true" href="javascript:"
target="_blank">***@googlegroups.com</a>
[mailto:<a moz-do-not-send="true"
href="javascript:" target="_blank">***@googlegroups.com</a>]
<b>On Behalf Of </b>yoda<br>
<b>Sent:</b> Tuesday, January 20, 2015 10:43 AM<br>
<b>To:</b> <a moz-do-not-send="true"
href="javascript:" target="_blank">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM: 19225] Re: An MC68030
SBC Design</span><o:p></o:p></p>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
<div>
<p class="MsoNormal">Hi Kip<o:p></o:p></p>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">I found Paul's BOM to be
pretty accurate to find chips. &nbsp;I am not sure
machined breakaway strips are any cheaper and
can cause problems in alignment. &nbsp;I find&nbsp;<a
moz-do-not-send="true"
href="http://www.phoenixent.com"
target="_blank">http://www.phoenixent.com</a>
a good place to get machined sockets and plcc
sockets. &nbsp;75 dollar minimum order but order 100
each of 20, 16, 14 pin and you are already
there. &nbsp;I order maybe twice a year and generally
those type of quantities and use them on all my
boards. &nbsp;Just better peace of mind and they look
nice on board - they eliminate frustration
later. &nbsp;I believe if I recall you need 3 44 pin,
1 68 pin and 1 84 pin plcc socket for Gryphon.
&nbsp;The challenge is the 68030 socket. &nbsp;You can
find on ebay searching for mc68030 pga socket.
Not much selection at the moment - they are 20
dollars for 2 from China and will take a while
to get here with Chinese New Year coming up.<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">I use 30 gauge wire wrap wire
for making patches on boards - works well though
my patches look like rat's nest - I need to get
better at making them look nicer. &nbsp;I would think
31 gauge wire would be OK but I have never heard
of that gauge. &nbsp;I think there are around 20
wires now on the back of my Gryphon board.<br>
<br>
On Tuesday, January 20, 2015 at 1:49:22 AM
UTC-6, computerdoc wrote:<o:p></o:p></p>
<div>
<div>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">Hi Yoda!</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">I have been
populating my Gyphon-030 for a while now.&nbsp;
Funds are slow to come.&nbsp; All resistors
except one and all capacitors are now
completely installed.&nbsp; All dip sockets are
installed with machined breakaway strips.&nbsp;
It&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;s much cheaper.&nbsp; The next thing
to do is order the PLCC sockets.&nbsp;
I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;m having to count the pins to
figure out which PLCC sockets I need.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">I have begun
building 3 SBCs from N8VEM, the Gryphon,
the Mark IV and the N8.&nbsp; If BOMs had the
PLCCxx socket sizes specified, that would
be a great help for new builders like
myself.&nbsp; I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;m new to PLCCs so
I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;m still in the learning stage.&nbsp; I
just ordered some PLCC64 sockets for my
Mark IV SBC the other day as a matter of
fact.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">I could also
really use complete part numbers for the
PLCC chips noted on the BOMs so I can
research costs.&nbsp; You N8VEM seasoned
veterans know what you all are talking
about.&nbsp; Me?&nbsp; Not so much, yet.&nbsp; I have to
do a lot of research on the internet to
figure out what parts the BOMs are
referring too.&nbsp; I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;m pretty good
with resistors and capacitors and I good
with 74LS logic only, but the bigger chips
I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;m having to think hard on.&nbsp; You
can probably see the steam coming out of
my ears!&nbsp; :P&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">As an example, I
searched for Z8S180 on ebay the other day
for my Mark IV and found NOTHING.&nbsp; It was
not until I saw Will&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;s pictures of
his completed Mark IV and read the
Z8S18033VSG part number that I could find
anything in ebay.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">By the way Will,
I can&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;t find that email with
pictures of your completed MARK IV PCB.&nbsp; I
thought I saved them, but I guess not.
&nbsp;Would you please send them to me again?&nbsp;
I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;m finding that I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;m having
to reference them quite a bit.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">I thought ebay
could search using a partial word
match?!?&nbsp; I guess not.&nbsp; Anyway, the
Gryphon build is on-going.&nbsp; I have 20
gauge and 31 gauge wire on hand.&nbsp; The 20
gauge seems too big and the 31 gauge is
definitely too small to make corrections
to the Gryphon PCB or any PCB for that
matter.&nbsp; What size wire do I need to make
corrections on PCBs?&nbsp; I &Atilde;&cent;&acirc;&#8218;&not;&Euml;&#339;ve tried
correcting 3 errors in the very first
release of the Multicomp prototype James
sent me and I never could make it work.&nbsp; I
could desperately use some tutoring on
making corrections on PCBs. &nbsp;I think that
is everything on my mind at the moment.&nbsp;
Keep up the good work.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">By the way,
I&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;d like to help you with
troubleshooting this PCB, but I need a lot
of hand holding to get up to speed.&nbsp; Thank
you for all your hard &nbsp;work and help thus
far.&nbsp; I really appreciate it.</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">Kip Koon</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);"><a
moz-do-not-send="true"
href="mailto:***@sc.rr.com">***@sc.rr.com</a></span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);"><a
moz-do-not-send="true"
href="http://www.cocopedia.com/wiki/index.php/Kip_Koon"
target="_blank">http://www.cocopedia.com/wiki/index.php/Kip_Koon</a></span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">
<a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>
[<a moz-do-not-send="true"
href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>]
<b>On Behalf Of </b>yoda<br>
<b>Sent:</b> Monday, January 19, 2015
10:16 PM<br>
<b>To:</b> <a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM: 19220] Re: An
MC68030 SBC Design</span><o:p></o:p></p>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
<div>
<p class="MsoNormal">Hi Andrew!!<o:p></o:p></p>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">I have not had much
time to work on it lately but am
planning to get fired up again. &nbsp;SRAM,
UART, ROM, and CPU is working fine. &nbsp;I
suspect the parallel port will work with
no problem. &nbsp;I am going to work on the
IDE port while I mull over the DRAM
config. &nbsp;I think the GAL equations for
the DRAM are not quite right because the
National Semi "Plan Format" is not the
same as "normal" format of other
compilers. &nbsp;I suspect it doesn't honor
the pin assignment logic and the
equations ignore the pin logic
assignments. &nbsp;I need to sit down with
the timing diagrams and verify the
equations. &nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">I am not sure
breaking it up into separate boards will
help a lot. &nbsp;The board is not the
difficult to work on. &nbsp;I just struggle
with Dram as I have not done anything
like that before so it is kind of voodoo
to me. &nbsp;A lot easier to connect logic
analyzer probes to a single board then
spreading amongst multiple boards. &nbsp;What
I really need to do is get the errata
published so that others can look at it
as well. &nbsp;I am not sure what happened
with Paul - have not heard from him in a
while - I think he is probably caught up
in house moving as he sold his house and
had to box everything up. &nbsp;If you have
spare cycles, it would be nice to get
this design into Kicad so we could edit
the schematics directly. &nbsp;I think we
also need to think about what a version
2 board would look like. &nbsp;I know John
Coffman has some ideas and we have been
trading emails. &nbsp;I think we might want
something a little simpler to start with
- and have the ethernet and video
possibly be plugin boards or modules as
the SMT nature of them might not suit
everyone.<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">I guess what makes it
slow is work - have to be in the right
frame of mind to sit down and do serious
debugging - been drained with work and
the new year - I will get back to it
soon.<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">Dave<br>
<br>
On Monday, January 19, 2015 at 2:29:34
PM UTC-6, lynchaj wrote:<o:p></o:p></p>
<div>
<div>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Hi Dave</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Any
progress on the Gryphon project?&nbsp;
What is the latest?</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">I was
wondering if it would make this
project easier to break out the
core CPU/SRAM/DRAM/Flash/UART
components into a smaller simpler
board?&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Get
those working properly and then
integrate in the IO components
later or on a separate board.</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Thanks</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Andrew
Lynch</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<div style="border-width: medium
medium medium 1.5pt; border-style:
none none none solid; padding: 0in
0in 0in 4pt; border-color:
-moz-use-text-color
-moz-use-text-color
-moz-use-text-color blue;">
<div>
<div style="border-right: medium
none; border-width: 1pt medium
medium; border-style: solid none
none; padding: 3pt 0in 0in;
border-color: rgb(181, 196, 223)
-moz-use-text-color
-moz-use-text-color;">
<p class="MsoNormal"><b><span
style="font-size: 10pt;
font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt;
font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">
<a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>
[<a moz-do-not-send="true"
href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>]
<b>On Behalf Of </b>yoda<br>
<b>Sent:</b> Monday,
December 29, 2014 4:37 PM<br>
<b>To:</b> <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM:
19164] Re: An MC68030 SBC
Design</span><o:p></o:p></p>
</div>
</div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
<div>
<p class="MsoNormal">Hi Andrew!!!<o:p></o:p></p>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">Well I have
serial and Flash and SRAM
working so far. &nbsp;I have about
7 total errata now counting
the ones Paul identified. &nbsp;I
want to try to fix one of them
different. &nbsp;After I verify
that one I will be putting up
an errata doc as to what
traces need to be cut (3 so
far I think) and patch wires.
&nbsp;The Dram is giving me fits -
I think the logic equations
are in correct as I read the
National Semi Plan example.
&nbsp;If anyone is familiar with
that notation and can check it
that would be appreciated. &nbsp;I
am also working on getting
flow control working on serial
port - should &nbsp;have that
knocked out this week. &nbsp; I am
still debating how to generate
CIIN for I/O - I am running
right now with CDIS* jumpered
so there is no caching at the
moment.<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">I hope to
have some things posted by New
Years - depends on how much I
watch football and celebrate.
&nbsp; How are you doing?<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">Dave<br>
<br>
On Monday, December 29, 2014
3:03:52 PM UTC-6, lynchaj
wrote:<o:p></o:p></p>
<div>
<div>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">Hi
Dave</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">What&Atilde;&cent;&acirc;&#8218;&not;&acirc;&#8222;&cent;s
the latest on the
Gryphon build and test?&nbsp;
</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">Please
post photos and updates
on the wiki</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">Thanks!<br>
<br>
Andrew Lynch</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">&nbsp;</span><o:p></o:p></p>
<div style="border-width:
medium medium medium
1.5pt; border-style: none
none none solid; padding:
0in 0in 0in 4pt;
border-color:
-moz-use-text-color
-moz-use-text-color
-moz-use-text-color blue;">
<div>
<div
style="border-right:
medium none;
border-width: 1pt
medium medium;
border-style: solid
none none; padding:
3pt 0in 0in;
border-color: rgb(181,
196, 223)
-moz-use-text-color
-moz-use-text-color;">
<p class="MsoNormal"><b><span
style="font-size:
10pt;
font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size:
10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;"> <a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a> [<a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>]
<b>On Behalf Of </b>yoda<br>
<b>Sent:</b>
Monday, December
15, 2014 12:36 PM<br>
<b>To:</b> <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b>
[N8VEM: 19098] Re:
An MC68030 SBC
Design</span><o:p></o:p></p>
</div>
</div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
<div>
<p class="MsoNormal">The
Gryphon roars!!!<o:p></o:p></p>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">I
have now
successfully gotten
the board to send
and receive
characters on the
mc68681 chip and the
SRAM passes basic
memory testing so
now I can have a
stack and variables
and can start using
C for programming.<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">There
are a few patches
that are necessary
for things to work.
&nbsp;I will be posting
them to the wiki in
the next few days.
&nbsp;I want to redo one
of them differently
as the way I did it
works but may cause
problems later when
I turn on caching.
&nbsp;I have CDIS*
jumper'd at the
moment, because John
Coffman reminded me
that all I/O must be
cache inhibited.
&nbsp;Right now CIN* is
pulled HI - I think
I can remedy that
with another patch
(enough logic still
left in the GALs) so
I need to look at
that one. &nbsp;I quickly
tried the DRAM last
night but it failed
so I will have to
pull out Mr
LogicAnalyzer and
see what is going
on. &nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">Just
thought I would give
a quick update - the
problem I had with
the MC68681 turned
out to be that the
68030 can write
commands to it too
fast and I had to
put some NOPs in the
initialization
section only. &nbsp;I
found that hidden in
one of the data
sheets - not
mentioned in any of
the Motorola
datasheets but was
in a Signetics data
sheet.<o:p></o:p></p>
</div>
</div>
<p class="MsoNormal">-- <br>
You received this
message because you are
subscribed to the Google
Groups "N8VEM" group.<br>
To unsubscribe from this
group and stop receiving
emails from it, send an
email to <a
moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group,
send email to <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a
moz-do-not-send="true"
href="http://groups.google.com/group/n8vem" target="_blank">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit
<a
moz-do-not-send="true"
href="https://groups.google.com/d/optout" target="_blank">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
</div>
</div>
</div>
</div>
</div>
<p class="MsoNormal">-- <br>
You received this message because
you are subscribed to the Google
Groups "N8VEM" group.<br>
To unsubscribe from this group and
stop receiving emails from it,
send an email to <a
moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email
to <a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a
moz-do-not-send="true"
href="http://groups.google.com/group/n8vem"
target="_blank">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a
moz-do-not-send="true"
href="https://groups.google.com/d/optout"
target="_blank">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
</div>
</div>
</div>
</div>
</div>
<p class="MsoNormal">-- <br>
You received this message because you are
subscribed to the Google Groups "N8VEM"
group.<br>
To unsubscribe from this group and stop
receiving emails from it, send an email to <a
moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a
moz-do-not-send="true"
href="http://groups.google.com/group/n8vem"
target="_blank">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a
moz-do-not-send="true"
href="https://groups.google.com/d/optout"
target="_blank">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
</div>
</div>
</div>
</div>
<p class="MsoNormal">-- <br>
You received this message because you are subscribed
to the Google Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving
emails from it, send an email to <a
moz-do-not-send="true" href="javascript:"
target="_blank">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true" href="javascript:"
target="_blank">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem"
target="_blank">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout"
target="_blank">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
</div>
</div>
</div>
</div>
<p class="MsoNormal">-- <br>
You received this message because you are subscribed to the
Google Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving emails
from it, send an email to <a moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
</blockquote>
<p class="MsoNormal"><br>
<br>
<br>
-- <br>
You received this message because you are subscribed to the
Google Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving emails from
it, send an email to <a moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true" href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
<p class="MsoNormal"><span style="color: windowtext;">-- <br>
You received this message because you are subscribed to the
Google Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving emails
from it, send an email to <a moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<o:p></o:p></span></p>
</div>
-- <br>
You received this message because you are subscribed to the Google
Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving emails from it,
send an email to <a moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<br>
</blockquote>
</body>
</html>

<p></p>

-- <br />
You received this message because you are subscribed to the Google Groups &quot;N8VEM&quot; group.<br />
To unsubscribe from this group and stop receiving emails from it, send an email to <a href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br />
To post to this group, send email to <a href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br />
Visit this group at <a href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br />
For more options, visit <a href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<br />
Kip Koon
2015-01-23 05:43:21 UTC
Permalink
John,

I tried correcting a trace when I was building the 6x0x SBC ATX PCB by trying to bend up a pin on a 74LS06N IC and broke the sucker off! I was quite peeved and frustrated. I have not tried doing that since. The picture of your fix to that board looked quite nice and I thought easy to do. Somehow I tend to complicate things. How do you accomplish bending up pins on an IC without breaking the sucker off. When I was in my twenties, I did some wirewrap work on a senior project in college so I’m familiar with that. Now I need to learn how to bend up IC pins a lot better than I’m doing now. Thanks for sharing you experiences.



Kip Koon

***@sc.rr.com

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of John Coffman
Sent: Thursday, January 22, 2015 9:11 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19247] Re: An MC68030 SBC Design



Kip,

I'm sure your dentist would not approve of using teeth; but if finger nails work, consider that generally you don't have too many patch wires to attach.

I still use wire strippers, but I have a pair with an adjusting screw that prevents them from closing down all the way. I still get nicked and then broken wires from time to time, so I'm not following my own advice. Often, I don't cut the insulation all the way through to the wire; just far enough that a tug will break the part to be removed free from the wire. This can be tricky with very short wires, though.

Avoiding those nicks in such tiny wire is most important, as, I gather, you have learned.

BTW: I've installed fixes to board another way, all on the top of the board. Rather than cut a trace, remove an IC from the socket and bend the offending pin upward. Upon replacing the IC, this makes a post to attach a wire to using a hand wire-wrap tool. Connections can be made (#30 wire) by inserting the wire into a socket so that an existing IC pin clamps it against the socket contact. (This works for dual wipe sockets, but not for machined-tool sockets.) Attaching a wire to a socket where a pin has been bent up is another matter. Often the contact wipes no longer come together. In this case, often the wire can be clipped between the plastic housing and the outer wipe.

Although some fixes absolutely require cutting traces and soldering, the method of altering the connections between IC's described above has proved to be simple, reliable, and quicker than soldering.

--John




On 01/21/2015 11:49 PM, Kip Koon wrote:

John,

Very interesting! What is the preferred method of stripping tiny wirewrap wire in preparation for soldering. 31 gauge is so small that I think I just used my teeth or finger nails when I did the first Multicomp PCB.



Kip Koon

***@sc.rr.com

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of John Coffman
Sent: Wednesday, January 21, 2015 5:32 AM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19234] Re: An MC68030 SBC Design



In industrial practice, the use of sharp wire strippers that can nick the wire is strictly forbidden. We used to use "thermal" strippers, which consist of two hot Nichrome wires that melt the insulation so it can be pulled off. Actually, the hot stripper is faster and easier to use. I have not seen one of these in many years.

FYI: the Apollo guidance computer and the IBM System/360 computers, both from the 1960s, were all wire-wrapped. Ten turns around a sharp, square, gold-plated post gives 40 points of contact. IBM found this to be an extremely reliable method of connecting components; hence, its use on the Apollo moon missions.

--John







On 01/20/2015 11:24 PM, James Moxham wrote:

I like the wire wrap wire too, and most of my boards have a few corrections/links on them. I tend to leave the wires longer than necessary so they can be moved out of the way when working on one area and yes it looks like a rats nest but it works fine.



but since it breaks so easily ... One comment about wires breaking - stripping wire wrap wire with wire cutters it is very easy to weaken the wire and then it breaks later at this point. Years ago I got a wire wrapping tool that had a stripper in it designed for this wire, and I don't wire wrap now, but for point to point soldering with wire wrap wire, that little stripper is extremely useful. I don't know if you can still buy them anywhere?



Cheers, James





On Wed, 21 Jan 2015 17:44:51 +1030, Kip Koon <mailto:***@sc.rr.com> <***@sc.rr.com> wrote:



Yoda,

Thank you so much for your advice. I really appreciate it. Since you are a veteran at building these PCBs, I will apply your suggestions and work on honing my PCB patching skills further. Thank you again.



Kip Koon

***@sc.rr.com

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Tuesday, January 20, 2015 10:52 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19230] Re: An MC68030 SBC Design



Well wire wrap has a pretty tough coating and that is what I have seen done professionally and I have had no issues with it. I don't try to make tight connections that is why mine look like a rat's nest, but it is not brittle it is kynar which seems to be very flexible. I think 20 gauge would be harder to route around the board. You can get wire wrap wire in different colors - it might not be as cheap as other wire but it seems very reliable and I have a bunch around for doing wire wrap boards which I don't do much any more.



I generally order sockets in large quantities - I think you see the 75 dollar minimum when you start putting things into the cart for checkout. I generally have 4 or 5 boards that I am working on so I try to keep a good supply on hand. Making a lot of small orders tend to cost more in shipping charges so it worth planning ahead.

On Tuesday, January 20, 2015 at 8:10:57 PM UTC-6, computerdoc wrote:

Yoda,

The 31 gauge breaks too easily in my limited experience. I’ve only tried three PCB corrections so far. I’ve been hold off modifying the Gryphon yet until I can get that original Multicomp prototype’s three corrections fully functional.

I’m wondering if 24 or 26 gauge would be a better choice and more stable. I have various colors of 20 and 31 gauge on hand. I bought the 20 for breadboarding and the 31 for patching PCBs, but since it breaks so easily, I’m wondering if the 20 gauge is a better choice though it seems a bit big to solder to a PCB for making corrections. I’m trying to keep from buying more wire. It’s a bit expensive for me the way I’ve been buying wire.

I will check out phoenixent.com for sockets. The prices look interesting on first look. I’m working on putting together an sample order based on your recommendations. Why do you do $100 orders? There is only a $3 discount and I have not seen the $75 minimum, yet. I might have to be a bit more frugal and order sockets as I need I’m currently putting together an order for parts for my Mark IV build. I want to get it running first, then I’ll go further with the other two builds I’m working on. Maybe I missed something you said concerning ordering in larger quantities. I’ll reread your email over to make sure.

How do you keep the 30 gauge wire from catching on something when you make long runs?

You are probably right on cost. I was going off of what little I knew about buying sockets. The prices on Phoenix seem quite good. I’ll have to do some comparisons and see.



Kip Koon

***@sc.rr.com <javascript:>

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com <javascript:> [mailto:***@googlegroups.com <javascript:> ] On Behalf Of yoda
Sent: Tuesday, January 20, 2015 10:43 AM
To: ***@googlegroups.com <javascript:>
Subject: Re: [N8VEM: 19225] Re: An MC68030 SBC Design



Hi Kip



I found Paul's BOM to be pretty accurate to find chips. I am not sure machined breakaway strips are any cheaper and can cause problems in alignment. I find http://www.phoenixent.com a good place to get machined sockets and plcc sockets. 75 dollar minimum order but order 100 each of 20, 16, 14 pin and you are already there. I order maybe twice a year and generally those type of quantities and use them on all my boards. Just better peace of mind and they look nice on board - they eliminate frustration later. I believe if I recall you need 3 44 pin, 1 68 pin and 1 84 pin plcc socket for Gryphon. The challenge is the 68030 socket. You can find on ebay searching for mc68030 pga socket. Not much selection at the moment - they are 20 dollars for 2 from China and will take a while to get here with Chinese New Year coming up.



I use 30 gauge wire wrap wire for making patches on boards - works well though my patches look like rat's nest - I need to get better at making them look nicer. I would think 31 gauge wire would be OK but I have never heard of that gauge. I think there are around 20 wires now on the back of my Gryphon board.

On Tuesday, January 20, 2015 at 1:49:22 AM UTC-6, computerdoc wrote:

Hi Yoda!

I have been populating my Gyphon-030 for a while now. Funds are slow to come. All resistors except one and all capacitors are now completely installed. All dip sockets are installed with machined breakaway strips. It’s much cheaper. The next thing to do is order the PLCC sockets. I’m having to count the pins to figure out which PLCC sockets I need.

I have begun building 3 SBCs from N8VEM, the Gryphon, the Mark IV and the N8. If BOMs had the PLCCxx socket sizes specified, that would be a great help for new builders like myself. I’m new to PLCCs so I’m still in the learning stage. I just ordered some PLCC64 sockets for my Mark IV SBC the other day as a matter of fact.

I could also really use complete part numbers for the PLCC chips noted on the BOMs so I can research costs. You N8VEM seasoned veterans know what you all are talking about. Me? Not so much, yet. I have to do a lot of research on the internet to figure out what parts the BOMs are referring too. I’m pretty good with resistors and capacitors and I good with 74LS logic only, but the bigger chips I’m having to think hard on. You can probably see the steam coming out of my ears! :P

As an example, I searched for Z8S180 on ebay the other day for my Mark IV and found NOTHING. It was not until I saw Will’s pictures of his completed Mark IV and read the Z8S18033VSG part number that I could find anything in ebay.

By the way Will, I can’t find that email with pictures of your completed MARK IV PCB. I thought I saved them, but I guess not. Would you please send them to me again? I’m finding that I’m having to reference them quite a bit.

I thought ebay could search using a partial word match?!? I guess not. Anyway, the Gryphon build is on-going. I have 20 gauge and 31 gauge wire on hand. The 20 gauge seems too big and the 31 gauge is definitely too small to make corrections to the Gryphon PCB or any PCB for that matter. What size wire do I need to make corrections on PCBs? I ‘ve tried correcting 3 errors in the very first release of the Multicomp prototype James sent me and I never could make it work. I could desperately use some tutoring on making corrections on PCBs. I think that is everything on my mind at the moment. Keep up the good work.

By the way, I’d like to help you with troubleshooting this PCB, but I need a lot of hand holding to get up to speed. Thank you for all your hard work and help thus far. I really appreciate it.



Kip Koon

***@sc.rr.com

http://www.cocopedia.com/wiki/index.php/Kip_Koon





From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, January 19, 2015 10:16 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19220] Re: An MC68030 SBC Design



Hi Andrew!!



I have not had much time to work on it lately but am planning to get fired up again. SRAM, UART, ROM, and CPU is working fine. I suspect the parallel port will work with no problem. I am going to work on the IDE port while I mull over the DRAM config. I think the GAL equations for the DRAM are not quite right because the National Semi "Plan Format" is not the same as "normal" format of other compilers. I suspect it doesn't honor the pin assignment logic and the equations ignore the pin logic assignments. I need to sit down with the timing diagrams and verify the equations.



I am not sure breaking it up into separate boards will help a lot. The board is not the difficult to work on. I just struggle with Dram as I have not done anything like that before so it is kind of voodoo to me. A lot easier to connect logic analyzer probes to a single board then spreading amongst multiple boards. What I really need to do is get the errata published so that others can look at it as well. I am not sure what happened with Paul - have not heard from him in a while - I think he is probably caught up in house moving as he sold his house and had to box everything up. If you have spare cycles, it would be nice to get this design into Kicad so we could edit the schematics directly. I think we also need to think about what a version 2 board would look like. I know John Coffman has some ideas and we have been trading emails. I think we might want something a little simpler to start with - and have the ethernet and video possibly be plugin boards or modules as the SMT nature of them might not suit everyone.



I guess what makes it slow is work - have to be in the right frame of mind to sit down and do serious debugging - been drained with work and the new year - I will get back to it soon.



Dave

On Monday, January 19, 2015 at 2:29:34 PM UTC-6, lynchaj wrote:

Hi Dave



Any progress on the Gryphon project? What is the latest?



I was wondering if it would make this project easier to break out the core CPU/SRAM/DRAM/Flash/UART components into a smaller simpler board?



Get those working properly and then integrate in the IO components later or on a separate board.



Thanks



Andrew Lynch



From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, December 29, 2014 4:37 PM
To: ***@googlegroups.com
Subject: Re: [N8VEM: 19164] Re: An MC68030 SBC Design



Hi Andrew!!!



Well I have serial and Flash and SRAM working so far. I have about 7 total errata now counting the ones Paul identified. I want to try to fix one of them different. After I verify that one I will be putting up an errata doc as to what traces need to be cut (3 so far I think) and patch wires. The Dram is giving me fits - I think the logic equations are in correct as I read the National Semi Plan example. If anyone is familiar with that notation and can check it that would be appreciated. I am also working on getting flow control working on serial port - should have that knocked out this week. I am still debating how to generate CIIN for I/O - I am running right now with CDIS* jumpered so there is no caching at the moment.



I hope to have some things posted by New Years - depends on how much I watch football and celebrate. How are you doing?



Dave

On Monday, December 29, 2014 3:03:52 PM UTC-6, lynchaj wrote:

Hi Dave

What’s the latest on the Gryphon build and test?



Please post photos and updates on the wiki



Thanks!

Andrew Lynch



From: ***@googlegroups.com [mailto:***@googlegroups.com] On Behalf Of yoda
Sent: Monday, December 15, 2014 12:36 PM
To: ***@googlegroups.com
Subject: [N8VEM: 19098] Re: An MC68030 SBC Design



The Gryphon roars!!!



I have now successfully gotten the board to send and receive characters on the mc68681 chip and the SRAM passes basic memory testing so now I can have a stack and variables and can start using C for programming.



There are a few patches that are necessary for things to work. I will be posting them to the wiki in the next few days. I want to redo one of them differently as the way I did it works but may cause problems later when I turn on caching. I have CDIS* jumper'd at the moment, because John Coffman reminded me that all I/O must be cache inhibited. Right now CIN* is pulled HI - I think I can remedy that with another patch (enough logic still left in the GALs) so I need to look at that one. I quickly tried the DRAM last night but it failed so I will have to pull out Mr LogicAnalyzer and see what is going on.



Just thought I would give a quick update - the problem I had with the MC68681 turned out to be that the 68030 can write commands to it too fast and I had to put some NOPs in the initialization section only. I found that hidden in one of the data sheets - not mentioned in any of the Motorola datasheets but was in a Signetics data sheet.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com <javascript:> .
To post to this group, send email to ***@googlegroups.com <javascript:> .
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
John Coffman
2015-01-23 19:56:13 UTC
Permalink
<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN">
<html>
<head>
<meta content="text/html; charset=ISO-8859-1"
http-equiv="Content-Type">
<title></title>
</head>
<body bgcolor="#ffffff" text="#000000">
Kip,<br>
<br>
Yes, I have occasionally broken a pin that way.&nbsp; At least the glue
chips are cheap.<br>
<br>
There would seem to be the most metal fatigue where the pin exits
the plastic housing; it has already been bent at a right angle
during manufacture.&nbsp; So avoid any bending backward at that point
(this is where it will usually break).&nbsp; I use needle nose pliers to
do the bend 1-2mm outside of the plastic housing.<br>
<br>
Ceramic DIPs could be another matter.&nbsp; I rewired a uPD7220 graphics
board several years ago, but I never touched the pins on the 7220
itself.&nbsp; It was, by contrast, an expensive DIP.<br>
<br>
--John<br>
<br>
<br>
<br>
On 01/22/2015 09:43 PM, Kip Koon wrote:
<blockquote cite="mid:00a701d036cf$7f40d5b0$7dc28110$@sc.rr.com"
type="cite">
<meta http-equiv="Content-Type" content="text/html;
charset=ISO-8859-1">
<meta name="Generator" content="Microsoft Word 14 (filtered
medium)">
<style><!--
/* Font Definitions */
@font-face
{font-family:Calibri;
panose-1:2 15 5 2 2 2 4 3 2 4;}
@font-face
{font-family:Tahoma;
panose-1:2 11 6 4 3 5 4 4 2 4;}
@font-face
{font-family:"Courier New \;";
panose-1:0 0 0 0 0 0 0 0 0 0;}
/* Style Definitions */
p.MsoNormal, li.MsoNormal, div.MsoNormal
{margin:0in;
margin-bottom:.0001pt;
font-size:12.0pt;
font-family:"Times New Roman","serif";
color:black;}
a:link, span.MsoHyperlink
{mso-style-priority:99;
color:blue;
text-decoration:underline;}
a:visited, span.MsoHyperlinkFollowed
{mso-style-priority:99;
color:purple;
text-decoration:underline;}
p
{mso-style-priority:99;
mso-margin-top-alt:auto;
margin-right:0in;
mso-margin-bottom-alt:auto;
margin-left:0in;
font-size:12.0pt;
font-family:"Times New Roman","serif";
color:black;}
tt
{mso-style-priority:99;
font-family:"Courier New";}
p.MsoAcetate, li.MsoAcetate, div.MsoAcetate
{mso-style-priority:99;
mso-style-link:"Balloon Text Char";
margin:0in;
margin-bottom:.0001pt;
font-size:8.0pt;
font-family:"Tahoma","sans-serif";
color:black;}
span.BalloonTextChar
{mso-style-name:"Balloon Text Char";
mso-style-priority:99;
mso-style-link:"Balloon Text";
font-family:"Tahoma","sans-serif";}
span.EmailStyle21
{mso-style-type:personal;
font-family:"Calibri","sans-serif";
color:#1F497D;}
span.EmailStyle22
{mso-style-type:personal;
font-family:"Calibri","sans-serif";
color:#1F497D;}
span.EmailStyle23
{mso-style-type:personal-reply;
font-family:"Calibri","sans-serif";
color:#1F497D;}
.MsoChpDefault
{mso-style-type:export-only;
font-size:10.0pt;}
@page WordSection1
{size:8.5in 11.0in;
margin:1.0in 1.0in 1.0in 1.0in;}
div.WordSection1
{page:WordSection1;}
--></style><!--[if gte mso 9]><xml>
<o:shapedefaults v:ext="edit" spidmax="1026" />
</xml><![endif]--><!--[if gte mso 9]><xml>
<o:shapelayout v:ext="edit">
<o:idmap v:ext="edit" data="1" />
</o:shapelayout></xml><![endif]-->
<div class="WordSection1">
<p class="MsoNormal"><span style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);">John,<o:p></o:p></span></p>
<p class="MsoNormal"><span style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);">I tried correcting a trace when I was building
the 6x0x SBC ATX PCB by trying to bend up a pin on a 74LS06N
IC and broke the sucker off!&Acirc;&nbsp; I was quite peeved and
frustrated.&Acirc;&nbsp; I have not tried doing that since.&Acirc;&nbsp; The
picture of your fix to that board looked quite nice and I
thought easy to do.&Acirc;&nbsp; Somehow I tend to complicate things.&Acirc;&nbsp;
How do you accomplish bending up pins on an IC without
breaking the sucker off.&Acirc;&nbsp; When I was in my twenties, I did
some wirewrap work on a senior project in college so I&acirc;&#8364;&#8482;m
familiar with that.&Acirc;&nbsp; Now I need to learn how to bend up IC
pins a lot better than I&acirc;&#8364;&#8482;m doing now.&Acirc;&nbsp; Thanks for sharing
you experiences.&Acirc;&nbsp; <o:p></o:p></span></p>
<p class="MsoNormal"><span style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><o:p>&nbsp;</o:p></span></p>
<div>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);">Kip Koon<o:p></o:p></span></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><a moz-do-not-send="true"
href="mailto:***@sc.rr.com">***@sc.rr.com</a><o:p></o:p></span></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><a moz-do-not-send="true"
href="http://www.cocopedia.com/wiki/index.php/Kip_Koon">http://www.cocopedia.com/wiki/index.php/Kip_Koon</a><o:p></o:p></span></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><o:p>&nbsp;</o:p></span></p>
</div>
<p class="MsoNormal"><span style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><o:p>&nbsp;</o:p></span></p>
<div>
<div style="border-right: medium none; border-width: 1pt
medium medium; border-style: solid none none; border-color:
rgb(181, 196, 223) -moz-use-text-color -moz-use-text-color;
padding: 3pt 0in 0in;">
<p class="MsoNormal"><b><span style="font-size: 10pt;
font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;; color:
windowtext;">From:</span></b><span style="font-size:
10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;; color:
windowtext;"> <a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a>
[<a class="moz-txt-link-freetext" href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>] <b>On Behalf Of </b>John
Coffman<br>
<b>Sent:</b> Thursday, January 22, 2015 9:11 PM<br>
<b>To:</b> <a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM: 19247] Re: An MC68030 SBC
Design<o:p></o:p></span></p>
</div>
</div>
<p class="MsoNormal"><o:p>&nbsp;</o:p></p>
<p class="MsoNormal">Kip,<br>
<br>
I'm sure your dentist would not approve of using teeth; but if
finger nails work, consider that generally you don't have too
many patch wires to attach.<br>
<br>
I still use wire strippers, but I have a pair with an
adjusting screw that prevents them from closing down all the
way.&nbsp; I still get nicked and then broken wires from time to
time, so I'm not following my own advice.&nbsp; Often, I don't cut
the insulation all the way through to the wire; just far
enough that a tug will break the part to be removed free from
the wire.&nbsp; This can be tricky with very short wires, though.<br>
<br>
Avoiding those nicks in such tiny wire is most important, as,
I gather, you have learned.<br>
<br>
BTW:&nbsp; I've installed fixes to board another way, all on the
top of the board.&nbsp; Rather than cut a trace, remove an IC from
the socket and bend the offending pin upward.&nbsp; Upon replacing
the IC, this makes a post to attach a wire to using a hand
wire-wrap tool.&nbsp; Connections can be made (#30 wire) by
inserting the wire into a socket so that an existing IC pin
clamps it against the socket contact.&nbsp; (This works for dual
wipe sockets, but not for machined-tool sockets.)&nbsp; Attaching a
wire to a socket where a pin has been bent up is another
matter.&nbsp; Often the contact wipes no longer come together.&nbsp; In
this case, often the wire can be clipped between the plastic
housing and the outer wipe.<br>
<br>
Although some fixes absolutely require cutting traces and
soldering, the method of altering the connections between IC's
described above has proved to be simple, reliable, and quicker
than soldering.<br>
<br>
--John<br>
<br>
<br>
<br>
<br>
On 01/21/2015 11:49 PM, Kip Koon wrote: <o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">John,</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">Very
interesting!&Atilde;&#8218;&nbsp; What is the preferred method of stripping
tiny wirewrap wire in preparation for soldering.&Atilde;&#8218;&nbsp; 31 gauge
is so small that I think I just used my teeth or finger
nails when I did the first Multicomp PCB.</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">&nbsp;</span><o:p></o:p></p>
<div>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">Kip Koon</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;"><a
moz-do-not-send="true"
href="mailto:***@sc.rr.com">***@sc.rr.com</a></span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;"><a
moz-do-not-send="true"
href="http://www.cocopedia.com/wiki/index.php/Kip_Koon">http://www.cocopedia.com/wiki/index.php/Kip_Koon</a></span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">&nbsp;</span><o:p></o:p></p>
</div>
<p class="MsoNormal"><span style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">&nbsp;</span><o:p></o:p></p>
<div>
<div style="border-right: medium none; border-width: 1pt
medium medium; border-style: solid none none; padding: 3pt
0in 0in; border-color: -moz-use-text-color;">
<p class="MsoNormal"><b><span style="font-size: 10pt;
font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;; color:
windowtext;">From:</span></b><span style="font-size:
10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;; color:
windowtext;"> <a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>
[<a moz-do-not-send="true"
href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>]
<b>On Behalf Of </b>John Coffman<br>
<b>Sent:</b> Wednesday, January 21, 2015 5:32 AM<br>
<b>To:</b> <a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM: 19234] Re: An MC68030 SBC
Design</span><o:p></o:p></p>
</div>
</div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
<p class="MsoNormal"><tt><span style="font-size: 10pt;">In
industrial practice, the use of sharp wire strippers that
can nick the wire is strictly forbidden.&nbsp; We used to use
"thermal" strippers, which consist of two hot Nichrome
wires that melt the insulation so it can be pulled off.&nbsp;
Actually, the hot stripper is faster and easier to use.&nbsp; I
have not seen one of these in many years.</span></tt><span
style="font-family: &quot;Courier New
;&quot;,&quot;serif&quot;;"><br>
<br>
</span><tt><span style="font-size: 10pt;">FYI:&nbsp; the Apollo
guidance computer and the IBM System/360 computers, both
from the 1960s, were all wire-wrapped.&nbsp; Ten turns around a
sharp, square, gold-plated post gives 40 points of
contact.&nbsp; IBM found this to be an extremely reliable
method of connecting components; hence, its use on the
Apollo moon missions.</span></tt><span style="font-family:
&quot;Courier New ;&quot;,&quot;serif&quot;;"><br>
<br>
</span><tt><span style="font-size: 10pt;">--John</span></tt><span
style="font-family: &quot;Courier New
;&quot;,&quot;serif&quot;;"><br>
<br>
<br>
<br>
<br>
<br>
<br>
</span><span style="font-size: 10pt; font-family:
&quot;Courier New&quot;;"><br>
</span>On 01/20/2015 11:24 PM, James Moxham wrote: <o:p></o:p></p>
<div>
<p class="MsoNormal"><span style="font-size: 13.5pt;">I like
the wire wrap wire too, and most of my boards have a few
corrections/links on them. I tend to leave the wires
longer than necessary so they can be moved out of the way
when working on one area and yes it looks like a rats nest
but it works fine.</span><o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family: &quot;Calibri&quot;,&quot;sans-serif&quot;;">but
since it breaks so easily</span> ... <span
style="font-size: 13.5pt;">One comment about wires
breaking - stripping wire wrap wire with wire cutters it
is very easy to weaken the wire and then it breaks later
at this point. Years ago I got a wire wrapping tool that
had a stripper in it designed for this wire, and I don't
wire wrap now, but for point to point soldering with wire
wrap wire, that little stripper is extremely useful. I
don't know if you can still buy them anywhere?</span><o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal"><span style="font-size: 13.5pt;">Cheers,
James</span><o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">On Wed, 21 Jan 2015 17:44:51 +1030, Kip
Koon <a moz-do-not-send="true"
href="mailto:***@sc.rr.com">&lt;***@sc.rr.com&gt;</a>
wrote:<o:p></o:p></p>
</div>
<blockquote style="border-width: medium medium medium 1.5pt;
border-style: none none none solid; padding: 0in 0in 0in 6pt;
margin: 5pt 0in 4.8pt; border-color: -moz-use-text-color
-moz-use-text-color -moz-use-text-color blue;">
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family: &quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">Yoda,</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family: &quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">Thank you so much for your
advice.&nbsp; I really appreciate it.&nbsp; Since you are a veteran
at building these PCBs, I will apply your suggestions and
work on honing my PCB patching skills further.&nbsp; Thank you
again.</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family: &quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">Kip Koon</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;"><a
moz-do-not-send="true"
href="mailto:***@sc.rr.com">***@sc.rr.com</a></span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;"><a
moz-do-not-send="true"
href="http://www.cocopedia.com/wiki/index.php/Kip_Koon">http://www.cocopedia.com/wiki/index.php/Kip_Koon</a></span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family: &quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><b><span style="font-size: 10pt;
font-family: &quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;"> <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>
[<a moz-do-not-send="true"
href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>]
<b>On Behalf Of </b>yoda<br>
<b>Sent:</b> Tuesday, January 20, 2015 10:52 PM<br>
<b>To:</b> <a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM: 19230] Re: An MC68030 SBC
Design</span><o:p></o:p></p>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
<div>
<p class="MsoNormal">Well wire wrap has a pretty tough
coating and that is what I have seen done professionally
and I have had no issues with it. &nbsp;I don't try to make
tight connections that is why mine look like a rat's nest,
but it is not brittle it is kynar which seems to be very
flexible. &nbsp;I think 20 gauge would be harder to route
around the board. &nbsp;You can get wire wrap wire in different
colors - it might not be as cheap as other wire but it
seems very reliable and I have a bunch around for doing
wire wrap boards which I don't do much any more.<o:p></o:p></p>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">I generally order sockets in large
quantities - I think you see the 75 dollar minimum when
you start putting things into the cart for checkout. &nbsp;I
generally have 4 or 5 boards that I am working on so I
try to keep a good supply on hand. &nbsp;Making a lot of
small orders tend to cost more in shipping charges so it
worth planning ahead.<br>
<br>
On Tuesday, January 20, 2015 at 8:10:57 PM UTC-6,
computerdoc wrote:<o:p></o:p></p>
<div>
<div>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">Yoda,</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">The 31 gauge breaks too easily
in my limited experience.&nbsp; I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;ve
only tried three PCB corrections so far.&nbsp;
I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;ve been hold off modifying the
Gryphon yet until I can get that original
Multicomp prototype&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;s three
corrections fully functional.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;m wondering
if 24 or 26 gauge would be a better choice and
more stable.&nbsp; I have various colors of 20 and 31
gauge on hand.&nbsp; I bought the 20 for breadboarding
and the 31 for patching PCBs, but since it breaks
so easily, I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;m wondering if the
20 gauge is a better choice though it seems a bit
big to solder to a PCB for making corrections.&nbsp;
I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;m trying to keep from buying
more wire.&nbsp; It&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;s a bit expensive
for me the way I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;ve been buying
wire.</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">I will check out <a
moz-do-not-send="true"
href="http://phoenixent.com" target="_blank">phoenixent.com</a>
for sockets.&nbsp; The prices look interesting on first
look.&nbsp; I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;m working on putting
together an sample order based on your
recommendations.&nbsp; Why do you do $100 orders?&nbsp;
There is only a $3 discount and I have not seen
the $75 minimum, yet.&nbsp; I might have to be a bit
more frugal and order sockets as I need&nbsp;
I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;m currently putting together an
order for parts for my Mark IV build.&nbsp; I want to
get it running first, then I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;ll
go further with the other two builds
I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;m working on.&nbsp; Maybe I missed
something you said concerning ordering in larger
quantities.&nbsp; I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;ll reread your
email over to make sure.</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">How do you keep the 30 gauge
wire from catching on something when you make long
runs?</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">You are probably right on
cost.&nbsp; I was going off of what little I knew about
buying sockets.&nbsp; The prices on Phoenix seem quite
good.&nbsp; I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;ll have to do some
comparisons and see. </span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">Kip Koon</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);"><a moz-do-not-send="true"
href="javascript:" target="_blank">***@sc.rr.com</a></span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);"><a moz-do-not-send="true"
href="http://www.cocopedia.com/wiki/index.php/Kip_Koon"
target="_blank">http://www.cocopedia.com/wiki/index.php/Kip_Koon</a></span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><b><span style="font-size: 10pt;
font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;"> <a
moz-do-not-send="true" href="javascript:"
target="_blank">***@googlegroups.com</a>
[mailto:<a moz-do-not-send="true"
href="javascript:" target="_blank">***@googlegroups.com</a>]
<b>On Behalf Of </b>yoda<br>
<b>Sent:</b> Tuesday, January 20, 2015 10:43 AM<br>
<b>To:</b> <a moz-do-not-send="true"
href="javascript:" target="_blank">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM: 19225] Re: An MC68030
SBC Design</span><o:p></o:p></p>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
<div>
<p class="MsoNormal">Hi Kip<o:p></o:p></p>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">I found Paul's BOM to be
pretty accurate to find chips. &nbsp;I am not sure
machined breakaway strips are any cheaper and
can cause problems in alignment. &nbsp;I find&nbsp;<a
moz-do-not-send="true"
href="http://www.phoenixent.com"
target="_blank">http://www.phoenixent.com</a>
a good place to get machined sockets and plcc
sockets. &nbsp;75 dollar minimum order but order 100
each of 20, 16, 14 pin and you are already
there. &nbsp;I order maybe twice a year and generally
those type of quantities and use them on all my
boards. &nbsp;Just better peace of mind and they look
nice on board - they eliminate frustration
later. &nbsp;I believe if I recall you need 3 44 pin,
1 68 pin and 1 84 pin plcc socket for Gryphon.
&nbsp;The challenge is the 68030 socket. &nbsp;You can
find on ebay searching for mc68030 pga socket.
Not much selection at the moment - they are 20
dollars for 2 from China and will take a while
to get here with Chinese New Year coming up.<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">I use 30 gauge wire wrap wire
for making patches on boards - works well though
my patches look like rat's nest - I need to get
better at making them look nicer. &nbsp;I would think
31 gauge wire would be OK but I have never heard
of that gauge. &nbsp;I think there are around 20
wires now on the back of my Gryphon board.<br>
<br>
On Tuesday, January 20, 2015 at 1:49:22 AM
UTC-6, computerdoc wrote:<o:p></o:p></p>
<div>
<div>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">Hi Yoda!</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">I have been
populating my Gyphon-030 for a while now.&nbsp;
Funds are slow to come.&nbsp; All resistors
except one and all capacitors are now
completely installed.&nbsp; All dip sockets are
installed with machined breakaway strips.&nbsp;
It&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;s much cheaper.&nbsp; The
next thing to do is order the PLCC
sockets.&nbsp; I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;m having to
count the pins to figure out which PLCC
sockets I need.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">I have begun
building 3 SBCs from N8VEM, the Gryphon,
the Mark IV and the N8.&nbsp; If BOMs had the
PLCCxx socket sizes specified, that would
be a great help for new builders like
myself.&nbsp; I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;m new to PLCCs
so I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;m still in the
learning stage.&nbsp; I just ordered some
PLCC64 sockets for my Mark IV SBC the
other day as a matter of fact.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">I could also
really use complete part numbers for the
PLCC chips noted on the BOMs so I can
research costs.&nbsp; You N8VEM seasoned
veterans know what you all are talking
about.&nbsp; Me?&nbsp; Not so much, yet.&nbsp; I have to
do a lot of research on the internet to
figure out what parts the BOMs are
referring too.&nbsp; I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;m
pretty good with resistors and capacitors
and I good with 74LS logic only, but the
bigger chips I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;m having
to think hard on.&nbsp; You can probably see
the steam coming out of my ears!&nbsp; :P&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">As an example, I
searched for Z8S180 on ebay the other day
for my Mark IV and found NOTHING.&nbsp; It was
not until I saw Will&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;s
pictures of his completed Mark IV and read
the Z8S18033VSG part number that I could
find anything in ebay.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">By the way Will,
I can&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;t find that email
with pictures of your completed MARK IV
PCB.&nbsp; I thought I saved them, but I guess
not. &nbsp;Would you please send them to me
again?&nbsp; I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;m finding that
I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;m having to reference
them quite a bit.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">I thought ebay
could search using a partial word
match?!?&nbsp; I guess not.&nbsp; Anyway, the
Gryphon build is on-going.&nbsp; I have 20
gauge and 31 gauge wire on hand.&nbsp; The 20
gauge seems too big and the 31 gauge is
definitely too small to make corrections
to the Gryphon PCB or any PCB for that
matter.&nbsp; What size wire do I need to make
corrections on PCBs?&nbsp; I &Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&#8249;&Aring;&#8220;ve
tried correcting 3 errors in the very
first release of the Multicomp prototype
James sent me and I never could make it
work.&nbsp; I could desperately use some
tutoring on making corrections on PCBs. &nbsp;I
think that is everything on my mind at the
moment.&nbsp; Keep up the good work.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">By the way,
I&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;d like to help you with
troubleshooting this PCB, but I need a lot
of hand holding to get up to speed.&nbsp; Thank
you for all your hard &nbsp;work and help thus
far.&nbsp; I really appreciate it.</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">Kip Koon</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);"><a
moz-do-not-send="true"
href="mailto:***@sc.rr.com">***@sc.rr.com</a></span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);"><a
moz-do-not-send="true"
href="http://www.cocopedia.com/wiki/index.php/Kip_Koon"
target="_blank">http://www.cocopedia.com/wiki/index.php/Kip_Koon</a></span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">
<a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>
[<a moz-do-not-send="true"
href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>]
<b>On Behalf Of </b>yoda<br>
<b>Sent:</b> Monday, January 19, 2015
10:16 PM<br>
<b>To:</b> <a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM: 19220] Re: An
MC68030 SBC Design</span><o:p></o:p></p>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
<div>
<p class="MsoNormal">Hi Andrew!!<o:p></o:p></p>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">I have not had much
time to work on it lately but am
planning to get fired up again. &nbsp;SRAM,
UART, ROM, and CPU is working fine. &nbsp;I
suspect the parallel port will work with
no problem. &nbsp;I am going to work on the
IDE port while I mull over the DRAM
config. &nbsp;I think the GAL equations for
the DRAM are not quite right because the
National Semi "Plan Format" is not the
same as "normal" format of other
compilers. &nbsp;I suspect it doesn't honor
the pin assignment logic and the
equations ignore the pin logic
assignments. &nbsp;I need to sit down with
the timing diagrams and verify the
equations. &nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">I am not sure
breaking it up into separate boards will
help a lot. &nbsp;The board is not the
difficult to work on. &nbsp;I just struggle
with Dram as I have not done anything
like that before so it is kind of voodoo
to me. &nbsp;A lot easier to connect logic
analyzer probes to a single board then
spreading amongst multiple boards. &nbsp;What
I really need to do is get the errata
published so that others can look at it
as well. &nbsp;I am not sure what happened
with Paul - have not heard from him in a
while - I think he is probably caught up
in house moving as he sold his house and
had to box everything up. &nbsp;If you have
spare cycles, it would be nice to get
this design into Kicad so we could edit
the schematics directly. &nbsp;I think we
also need to think about what a version
2 board would look like. &nbsp;I know John
Coffman has some ideas and we have been
trading emails. &nbsp;I think we might want
something a little simpler to start with
- and have the ethernet and video
possibly be plugin boards or modules as
the SMT nature of them might not suit
everyone.<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">I guess what makes it
slow is work - have to be in the right
frame of mind to sit down and do serious
debugging - been drained with work and
the new year - I will get back to it
soon.<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">Dave<br>
<br>
On Monday, January 19, 2015 at 2:29:34
PM UTC-6, lynchaj wrote:<o:p></o:p></p>
<div>
<div>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Hi Dave</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Any
progress on the Gryphon project?&nbsp;
What is the latest?</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">I was
wondering if it would make this
project easier to break out the
core CPU/SRAM/DRAM/Flash/UART
components into a smaller simpler
board?&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Get
those working properly and then
integrate in the IO components
later or on a separate board.</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Thanks</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Andrew
Lynch</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<div style="border-width: medium
medium medium 1.5pt; border-style:
none none none solid; padding: 0in
0in 0in 4pt; border-color:
-moz-use-text-color
-moz-use-text-color
-moz-use-text-color blue;">
<div>
<div style="border-right: medium
none; border-width: 1pt medium
medium; border-style: solid none
none; padding: 3pt 0in 0in;
border-color:
-moz-use-text-color;">
<p class="MsoNormal"><b><span
style="font-size: 10pt;
font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt;
font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">
<a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>
[<a moz-do-not-send="true"
href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>]
<b>On Behalf Of </b>yoda<br>
<b>Sent:</b> Monday,
December 29, 2014 4:37 PM<br>
<b>To:</b> <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM:
19164] Re: An MC68030 SBC
Design</span><o:p></o:p></p>
</div>
</div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
<div>
<p class="MsoNormal">Hi Andrew!!!<o:p></o:p></p>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">Well I have
serial and Flash and SRAM
working so far. &nbsp;I have about
7 total errata now counting
the ones Paul identified. &nbsp;I
want to try to fix one of them
different. &nbsp;After I verify
that one I will be putting up
an errata doc as to what
traces need to be cut (3 so
far I think) and patch wires.
&nbsp;The Dram is giving me fits -
I think the logic equations
are in correct as I read the
National Semi Plan example.
&nbsp;If anyone is familiar with
that notation and can check it
that would be appreciated. &nbsp;I
am also working on getting
flow control working on serial
port - should &nbsp;have that
knocked out this week. &nbsp; I am
still debating how to generate
CIIN for I/O - I am running
right now with CDIS* jumpered
so there is no caching at the
moment.<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">I hope to
have some things posted by New
Years - depends on how much I
watch football and celebrate.
&nbsp; How are you doing?<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">Dave<br>
<br>
On Monday, December 29, 2014
3:03:52 PM UTC-6, lynchaj
wrote:<o:p></o:p></p>
<div>
<div>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">Hi
Dave</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">What&Atilde;&#402;&Acirc;&cent;&Atilde;&cent;&acirc;&#8364;&#353;&Acirc;&not;&Atilde;&cent;&acirc;&#8364;&#382;&Acirc;&cent;s
the latest on the
Gryphon build and test?&nbsp;
</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">Please
post photos and updates
on the wiki</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">Thanks!<br>
<br>
Andrew Lynch</span><o:p></o:p></p>
<p class="MsoNormal"><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;">&nbsp;</span><o:p></o:p></p>
<div style="border-width:
medium medium medium
1.5pt; border-style: none
none none solid; padding:
0in 0in 0in 4pt;
border-color:
-moz-use-text-color
-moz-use-text-color
-moz-use-text-color blue;">
<div>
<div
style="border-right:
medium none;
border-width: 1pt
medium medium;
border-style: solid
none none; padding:
3pt 0in 0in;
border-color: rgb(181,
196, 223)
-moz-use-text-color
-moz-use-text-color;">
<p class="MsoNormal"><b><span
style="font-size:
10pt;
font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size:
10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;"> <a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a> [<a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>]
<b>On Behalf Of </b>yoda<br>
<b>Sent:</b>
Monday, December
15, 2014 12:36 PM<br>
<b>To:</b> <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b>
[N8VEM: 19098] Re:
An MC68030 SBC
Design</span><o:p></o:p></p>
</div>
</div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
<div>
<p class="MsoNormal">The
Gryphon roars!!!<o:p></o:p></p>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">I
have now
successfully gotten
the board to send
and receive
characters on the
mc68681 chip and the
SRAM passes basic
memory testing so
now I can have a
stack and variables
and can start using
C for programming.<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">There
are a few patches
that are necessary
for things to work.
&nbsp;I will be posting
them to the wiki in
the next few days.
&nbsp;I want to redo one
of them differently
as the way I did it
works but may cause
problems later when
I turn on caching.
&nbsp;I have CDIS*
jumper'd at the
moment, because John
Coffman reminded me
that all I/O must be
cache inhibited.
&nbsp;Right now CIN* is
pulled HI - I think
I can remedy that
with another patch
(enough logic still
left in the GALs) so
I need to look at
that one. &nbsp;I quickly
tried the DRAM last
night but it failed
so I will have to
pull out Mr
LogicAnalyzer and
see what is going
on. &nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal">Just
thought I would give
a quick update - the
problem I had with
the MC68681 turned
out to be that the
68030 can write
commands to it too
fast and I had to
put some NOPs in the
initialization
section only. &nbsp;I
found that hidden in
one of the data
sheets - not
mentioned in any of
the Motorola
datasheets but was
in a Signetics data
sheet.<o:p></o:p></p>
</div>
</div>
<p class="MsoNormal">-- <br>
You received this
message because you are
subscribed to the Google
Groups "N8VEM" group.<br>
To unsubscribe from this
group and stop receiving
emails from it, send an
email to <a
moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group,
send email to <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a
moz-do-not-send="true"
href="http://groups.google.com/group/n8vem" target="_blank">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit
<a
moz-do-not-send="true"
href="https://groups.google.com/d/optout" target="_blank">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
</div>
</div>
</div>
</div>
</div>
<p class="MsoNormal">-- <br>
You received this message because
you are subscribed to the Google
Groups "N8VEM" group.<br>
To unsubscribe from this group and
stop receiving emails from it,
send an email to <a
moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email
to <a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a
moz-do-not-send="true"
href="http://groups.google.com/group/n8vem"
target="_blank">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a
moz-do-not-send="true"
href="https://groups.google.com/d/optout"
target="_blank">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
</div>
</div>
</div>
</div>
</div>
<p class="MsoNormal">-- <br>
You received this message because you are
subscribed to the Google Groups "N8VEM"
group.<br>
To unsubscribe from this group and stop
receiving emails from it, send an email to <a
moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a
moz-do-not-send="true"
href="http://groups.google.com/group/n8vem"
target="_blank">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a
moz-do-not-send="true"
href="https://groups.google.com/d/optout"
target="_blank">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
</div>
</div>
</div>
</div>
<p class="MsoNormal">-- <br>
You received this message because you are subscribed
to the Google Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving
emails from it, send an email to <a
moz-do-not-send="true" href="javascript:"
target="_blank">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true" href="javascript:"
target="_blank">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem"
target="_blank">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout"
target="_blank">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
</div>
</div>
</div>
</div>
<p class="MsoNormal">-- <br>
You received this message because you are subscribed to the
Google Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving emails
from it, send an email to <a moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
</blockquote>
<p class="MsoNormal"><br>
<br>
<br>
-- <br>
You received this message because you are subscribed to the
Google Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving emails from
it, send an email to <a moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true" href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
<p class="MsoNormal"><span style="color: windowtext;">-- <br>
You received this message because you are subscribed to the
Google Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving emails
from it, send an email to <a moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.</span><o:p></o:p></p>
<p class="MsoNormal">-- <br>
You received this message because you are subscribed to the
Google Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving emails from
it, send an email to <a moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true" href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
<p class="MsoNormal"><span style="color: windowtext;">-- <br>
You received this message because you are subscribed to the
Google Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving emails
from it, send an email to <a moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<o:p></o:p></span></p>
</div>
-- <br>
You received this message because you are subscribed to the Google
Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving emails from it,
send an email to <a moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<br>
</blockquote>
</body>
</html>

<p></p>

-- <br />
You received this message because you are subscribed to the Google Groups &quot;N8VEM&quot; group.<br />
To unsubscribe from this group and stop receiving emails from it, send an email to <a href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br />
To post to this group, send email to <a href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br />
Visit this group at <a href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br />
For more options, visit <a href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<br />
John Coffman
2015-01-21 10:24:12 UTC
Permalink
<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN">
<html>
<head>
<meta content="text/html; charset=ISO-8859-1"
http-equiv="Content-Type">
</head>
<body bgcolor="#ffffff" text="#000000">
Kip,<br>
<br>
The patches I make to boards is with #30 wire-wrap wire.&nbsp; I have
spools of the stuff lying around from when my projects were all
wire-wrapped.&nbsp; Ugh!!<br>
<br>
--John<br>
<br>
<br>
<br>
On 01/20/2015 11:14 PM, Kip Koon wrote:
<blockquote cite="mid:000901d03549$f3a50f70$daef2e50$@sc.rr.com"
type="cite">
<meta http-equiv="Content-Type" content="text/html;
charset=ISO-8859-1">
<meta name="Generator" content="Microsoft Word 14 (filtered
medium)">
<style><!--
/* Font Definitions */
@font-face
{font-family:Calibri;
panose-1:2 15 5 2 2 2 4 3 2 4;}
@font-face
{font-family:Tahoma;
panose-1:2 11 6 4 3 5 4 4 2 4;}
/* Style Definitions */
p.MsoNormal, li.MsoNormal, div.MsoNormal
{margin:0in;
margin-bottom:.0001pt;
font-size:12.0pt;
font-family:"Times New Roman","serif";}
a:link, span.MsoHyperlink
{mso-style-priority:99;
color:blue;
text-decoration:underline;}
a:visited, span.MsoHyperlinkFollowed
{mso-style-priority:99;
color:purple;
text-decoration:underline;}
p
{mso-style-priority:99;
mso-margin-top-alt:auto;
margin-right:0in;
mso-margin-bottom-alt:auto;
margin-left:0in;
font-size:12.0pt;
font-family:"Times New Roman","serif";}
p.MsoAcetate, li.MsoAcetate, div.MsoAcetate
{mso-style-priority:99;
mso-style-link:"Balloon Text Char";
margin:0in;
margin-bottom:.0001pt;
font-size:8.0pt;
font-family:"Tahoma","sans-serif";}
span.EmailStyle18
{mso-style-type:personal-reply;
font-family:"Calibri","sans-serif";
color:#1F497D;}
span.BalloonTextChar
{mso-style-name:"Balloon Text Char";
mso-style-priority:99;
mso-style-link:"Balloon Text";
font-family:"Tahoma","sans-serif";}
.MsoChpDefault
{mso-style-type:export-only;
font-family:"Calibri","sans-serif";}
@page WordSection1
{size:8.5in 11.0in;
margin:1.0in 1.0in 1.0in 1.0in;}
div.WordSection1
{page:WordSection1;}
--></style><!--[if gte mso 9]><xml>
<o:shapedefaults v:ext="edit" spidmax="1026" />
</xml><![endif]--><!--[if gte mso 9]><xml>
<o:shapelayout v:ext="edit">
<o:idmap v:ext="edit" data="1" />
</o:shapelayout></xml><![endif]-->
<div class="WordSection1">
<p class="MsoNormal"><span style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);">Yoda,<o:p></o:p></span></p>
<p class="MsoNormal"><span style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);">Thank you so much for your advice.&Acirc;&nbsp; I really
appreciate it.&Acirc;&nbsp; Since you are a veteran at building these
PCBs, I will apply your suggestions and work on honing my
PCB patching skills further.&Acirc;&nbsp; Thank you again.<o:p></o:p></span></p>
<p class="MsoNormal"><span style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><o:p>&nbsp;</o:p></span></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);">Kip Koon<o:p></o:p></span></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><a moz-do-not-send="true"
href="mailto:***@sc.rr.com">***@sc.rr.com</a><o:p></o:p></span></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><a moz-do-not-send="true"
href="http://www.cocopedia.com/wiki/index.php/Kip_Koon">http://www.cocopedia.com/wiki/index.php/Kip_Koon</a><o:p></o:p></span></p>
<p class="MsoNormal"><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><o:p>&nbsp;</o:p></span></p>
<p class="MsoNormal"><span style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color: rgb(31,
73, 125);"><o:p>&nbsp;</o:p></span></p>
<p class="MsoNormal"><b><span style="font-size: 10pt;
font-family: &quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">
<a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a> [<a class="moz-txt-link-freetext" href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>] <b>On
Behalf Of </b>yoda<br>
<b>Sent:</b> Tuesday, January 20, 2015 10:52 PM<br>
<b>To:</b> <a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM: 19230] Re: An MC68030 SBC Design<o:p></o:p></span></p>
<p class="MsoNormal"><o:p>&nbsp;</o:p></p>
<div>
<p class="MsoNormal">Well wire wrap has a pretty tough coating
and that is what I have seen done professionally and I have
had no issues with it. &nbsp;I don't try to make tight
connections that is why mine look like a rat's nest, but it
is not brittle it is kynar which seems to be very flexible.
&nbsp;I think 20 gauge would be harder to route around the board.
&nbsp;You can get wire wrap wire in different colors - it might
not be as cheap as other wire but it seems very reliable and
I have a bunch around for doing wire wrap boards which I
don't do much any more.<o:p></o:p></p>
<div>
<p class="MsoNormal"><o:p>&nbsp;</o:p></p>
</div>
<div>
<p class="MsoNormal">I generally order sockets in large
quantities - I think you see the 75 dollar minimum when
you start putting things into the cart for checkout. &nbsp;I
generally have 4 or 5 boards that I am working on so I try
to keep a good supply on hand. &nbsp;Making a lot of small
orders tend to cost more in shipping charges so it worth
planning ahead.<br>
<br>
On Tuesday, January 20, 2015 at 8:10:57 PM UTC-6,
computerdoc wrote:<o:p></o:p></p>
<div>
<div>
<p class="MsoNormal" style=""><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">Yoda,</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">The 31 gauge breaks too easily in
my limited experience.&nbsp; I&acirc;&#8364;&#8482;ve only tried three PCB
corrections so far.&nbsp; I&acirc;&#8364;&#8482;ve been hold off modifying
the Gryphon yet until I can get that original
Multicomp prototype&acirc;&#8364;&#8482;s three corrections fully
functional.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal" style=""><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">I&acirc;&#8364;&#8482;m wondering if 24 or 26 gauge
would be a better choice and more stable.&nbsp; I have
various colors of 20 and 31 gauge on hand.&nbsp; I bought
the 20 for breadboarding and the 31 for patching
PCBs, but since it breaks so easily, I&acirc;&#8364;&#8482;m wondering
if the 20 gauge is a better choice though it seems a
bit big to solder to a PCB for making corrections.&nbsp;
I&acirc;&#8364;&#8482;m trying to keep from buying more wire.&nbsp; It&acirc;&#8364;&#8482;s
a bit expensive for me the way I&acirc;&#8364;&#8482;ve been buying
wire.</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">I will check out <a
moz-do-not-send="true"
href="http://phoenixent.com" target="_blank">phoenixent.com</a>
for sockets.&nbsp; The prices look interesting on first
look.&nbsp; I&acirc;&#8364;&#8482;m working on putting together an sample
order based on your recommendations.&nbsp; Why do you do
$100 orders?&nbsp; There is only a $3 discount and I have
not seen the $75 minimum, yet.&nbsp; I might have to be a
bit more frugal and order sockets as I need&nbsp; I&acirc;&#8364;&#8482;m
currently putting together an order for parts for my
Mark IV build.&nbsp; I want to get it running first, then
I&acirc;&#8364;&#8482;ll go further with the other two builds I&acirc;&#8364;&#8482;m
working on.&nbsp; Maybe I missed something you said
concerning ordering in larger quantities.&nbsp; I&acirc;&#8364;&#8482;ll
reread your email over to make sure.</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">How do you keep the 30 gauge wire
from catching on something when you make long runs?</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">You are probably right on cost.&nbsp;
I was going off of what little I knew about buying
sockets.&nbsp; The prices on Phoenix seem quite good.&nbsp;
I&acirc;&#8364;&#8482;ll have to do some comparisons and see. </span><o:p></o:p></p>
<p class="MsoNormal" style=""><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">Kip Koon</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);"><a moz-do-not-send="true"
href="javascript:" target="_blank">***@sc.rr.com</a></span><o:p></o:p></p>
<p class="MsoNormal" style=""><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);"><a moz-do-not-send="true"
href="http://www.cocopedia.com/wiki/index.php/Kip_Koon"
target="_blank">http://www.cocopedia.com/wiki/index.php/Kip_Koon</a></span><o:p></o:p></p>
<p class="MsoNormal" style=""><span style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal" style=""><b><span style="font-size:
10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;"> <a
moz-do-not-send="true" href="javascript:"
target="_blank">***@googlegroups.com</a>
[mailto:<a moz-do-not-send="true" href="javascript:"
target="_blank">***@googlegroups.com</a>] <b>On
Behalf Of </b>yoda<br>
<b>Sent:</b> Tuesday, January 20, 2015 10:43 AM<br>
<b>To:</b> <a moz-do-not-send="true"
href="javascript:" target="_blank">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM: 19225] Re: An MC68030
SBC Design</span><o:p></o:p></p>
<p class="MsoNormal" style="">&nbsp;<o:p></o:p></p>
<div>
<p class="MsoNormal" style="">Hi Kip<o:p></o:p></p>
<div>
<p class="MsoNormal" style="">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal" style="">I found Paul's BOM to
be pretty accurate to find chips. &nbsp;I am not sure
machined breakaway strips are any cheaper and can
cause problems in alignment. &nbsp;I find&nbsp;<a
moz-do-not-send="true"
href="http://www.phoenixent.com" target="_blank">http://www.phoenixent.com</a>
a good place to get machined sockets and plcc
sockets. &nbsp;75 dollar minimum order but order 100
each of 20, 16, 14 pin and you are already there.
&nbsp;I order maybe twice a year and generally those
type of quantities and use them on all my boards.
&nbsp;Just better peace of mind and they look nice on
board - they eliminate frustration later. &nbsp;I
believe if I recall you need 3 44 pin, 1 68 pin
and 1 84 pin plcc socket for Gryphon. &nbsp;The
challenge is the 68030 socket. &nbsp;You can find on
ebay searching for mc68030 pga socket. Not much
selection at the moment - they are 20 dollars for
2 from China and will take a while to get here
with Chinese New Year coming up.<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal" style="">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal" style="">I use 30 gauge wire
wrap wire for making patches on boards - works
well though my patches look like rat's nest - I
need to get better at making them look nicer. &nbsp;I
would think 31 gauge wire would be OK but I have
never heard of that gauge. &nbsp;I think there are
around 20 wires now on the back of my Gryphon
board.<br>
<br>
On Tuesday, January 20, 2015 at 1:49:22 AM UTC-6,
computerdoc wrote:<o:p></o:p></p>
<div>
<div>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">Hi Yoda!</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">I have been
populating my Gyphon-030 for a while now.&nbsp;
Funds are slow to come.&nbsp; All resistors
except one and all capacitors are now
completely installed.&nbsp; All dip sockets are
installed with machined breakaway strips.&nbsp;
It&acirc;&#8364;&#8482;s much cheaper.&nbsp; The next thing to do
is order the PLCC sockets.&nbsp; I&acirc;&#8364;&#8482;m having to
count the pins to figure out which PLCC
sockets I need.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">I have begun
building 3 SBCs from N8VEM, the Gryphon, the
Mark IV and the N8.&nbsp; If BOMs had the PLCCxx
socket sizes specified, that would be a
great help for new builders like myself.&nbsp;
I&acirc;&#8364;&#8482;m new to PLCCs so I&acirc;&#8364;&#8482;m still in the
learning stage.&nbsp; I just ordered some PLCC64
sockets for my Mark IV SBC the other day as
a matter of fact.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">I could also
really use complete part numbers for the
PLCC chips noted on the BOMs so I can
research costs.&nbsp; You N8VEM seasoned veterans
know what you all are talking about.&nbsp; Me?&nbsp;
Not so much, yet.&nbsp; I have to do a lot of
research on the internet to figure out what
parts the BOMs are referring too.&nbsp; I&acirc;&#8364;&#8482;m
pretty good with resistors and capacitors
and I good with 74LS logic only, but the
bigger chips I&acirc;&#8364;&#8482;m having to think hard on.&nbsp;
You can probably see the steam coming out of
my ears!&nbsp; :P&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">As an example, I
searched for Z8S180 on ebay the other day
for my Mark IV and found NOTHING.&nbsp; It was
not until I saw Will&acirc;&#8364;&#8482;s pictures of his
completed Mark IV and read the Z8S18033VSG
part number that I could find anything in
ebay.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">By the way Will, I
can&acirc;&#8364;&#8482;t find that email with pictures of
your completed MARK IV PCB.&nbsp; I thought I
saved them, but I guess not. &nbsp;Would you
please send them to me again?&nbsp; I&acirc;&#8364;&#8482;m finding
that I&acirc;&#8364;&#8482;m having to reference them quite a
bit.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">I thought ebay
could search using a partial word match?!?&nbsp;
I guess not.&nbsp; Anyway, the Gryphon build is
on-going.&nbsp; I have 20 gauge and 31 gauge wire
on hand.&nbsp; The 20 gauge seems too big and the
31 gauge is definitely too small to make
corrections to the Gryphon PCB or any PCB
for that matter.&nbsp; What size wire do I need
to make corrections on PCBs?&nbsp; I &acirc;&#8364;&#732;ve tried
correcting 3 errors in the very first
release of the Multicomp prototype James
sent me and I never could make it work.&nbsp; I
could desperately use some tutoring on
making corrections on PCBs. &nbsp;I think that is
everything on my mind at the moment.&nbsp; Keep
up the good work.&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">By the way, I&acirc;&#8364;&#8482;d
like to help you with troubleshooting this
PCB, but I need a lot of hand holding to get
up to speed.&nbsp; Thank you for all your hard
&nbsp;work and help thus far.&nbsp; I really
appreciate it.</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">Kip Koon</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);"><a
moz-do-not-send="true"
href="mailto:***@sc.rr.com">***@sc.rr.com</a></span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);"><a
moz-do-not-send="true"
href="http://www.cocopedia.com/wiki/index.php/Kip_Koon"
target="_blank">http://www.cocopedia.com/wiki/index.php/Kip_Koon</a></span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(31, 73, 125);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal" style=""><b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">
<a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a>
[<a class="moz-txt-link-freetext" href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>] <b>On
Behalf Of </b>yoda<br>
<b>Sent:</b> Monday, January 19, 2015 10:16
PM<br>
<b>To:</b> <a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM: 19220] Re: An
MC68030 SBC Design</span><o:p></o:p></p>
<p class="MsoNormal" style="">&nbsp;<o:p></o:p></p>
<div>
<p class="MsoNormal" style="">Hi Andrew!!<o:p></o:p></p>
<div>
<p class="MsoNormal" style="">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal" style="">I have not had
much time to work on it lately but am
planning to get fired up again. &nbsp;SRAM,
UART, ROM, and CPU is working fine. &nbsp;I
suspect the parallel port will work with
no problem. &nbsp;I am going to work on the IDE
port while I mull over the DRAM config. &nbsp;I
think the GAL equations for the DRAM are
not quite right because the National Semi
"Plan Format" is not the same as "normal"
format of other compilers. &nbsp;I suspect it
doesn't honor the pin assignment logic and
the equations ignore the pin logic
assignments. &nbsp;I need to sit down with the
timing diagrams and verify the equations.
&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal" style="">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal" style="">I am not sure
breaking it up into separate boards will
help a lot. &nbsp;The board is not the
difficult to work on. &nbsp;I just struggle
with Dram as I have not done anything like
that before so it is kind of voodoo to me.
&nbsp;A lot easier to connect logic analyzer
probes to a single board then spreading
amongst multiple boards. &nbsp;What I really
need to do is get the errata published so
that others can look at it as well. &nbsp;I am
not sure what happened with Paul - have
not heard from him in a while - I think he
is probably caught up in house moving as
he sold his house and had to box
everything up. &nbsp;If you have spare cycles,
it would be nice to get this design into
Kicad so we could edit the schematics
directly. &nbsp;I think we also need to think
about what a version 2 board would look
like. &nbsp;I know John Coffman has some ideas
and we have been trading emails. &nbsp;I think
we might want something a little simpler
to start with - and have the ethernet and
video possibly be plugin boards or modules
as the SMT nature of them might not suit
everyone.<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal" style="">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal" style="">I guess what
makes it slow is work - have to be in the
right frame of mind to sit down and do
serious debugging - been drained with work
and the new year - I will get back to it
soon.<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal" style="">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal" style="">Dave<br>
<br>
On Monday, January 19, 2015 at 2:29:34 PM
UTC-6, lynchaj wrote:<o:p></o:p></p>
<div>
<div>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Hi Dave</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Any
progress on the Gryphon project?&nbsp;
What is the latest?</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">I was
wondering if it would make this
project easier to break out the core
CPU/SRAM/DRAM/Flash/UART components
into a smaller simpler board?&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Get those
working properly and then integrate
in the IO components later or on a
separate board.</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Thanks</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Andrew
Lynch</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<div style="border-width: medium medium
medium 1.5pt; border-style: none none
none solid; border-color:
-moz-use-text-color
-moz-use-text-color
-moz-use-text-color blue; padding: 0in
0in 0in 4pt;">
<div>
<div style="border-right: medium
none; border-width: 1pt medium
medium; border-style: solid none
none; border-color: rgb(181, 196,
223) -moz-use-text-color
-moz-use-text-color; padding: 3pt
0in 0in;">
<p class="MsoNormal" style=""><b><span
style="font-size: 10pt;
font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt;
font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">
<a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a>
[<a class="moz-txt-link-freetext" href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>]
<b>On Behalf Of </b>yoda<br>
<b>Sent:</b> Monday, December
29, 2014 4:37 PM<br>
<b>To:</b>
<a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM:
19164] Re: An MC68030 SBC
Design</span><o:p></o:p></p>
</div>
</div>
<p class="MsoNormal" style="">&nbsp;<o:p></o:p></p>
<div>
<p class="MsoNormal" style="">Hi
Andrew!!!<o:p></o:p></p>
<div>
<p class="MsoNormal" style="">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal" style="">Well
I have serial and Flash and SRAM
working so far. &nbsp;I have about 7
total errata now counting the
ones Paul identified. &nbsp;I want to
try to fix one of them
different. &nbsp;After I verify that
one I will be putting up an
errata doc as to what traces
need to be cut (3 so far I
think) and patch wires. &nbsp;The
Dram is giving me fits - I think
the logic equations are in
correct as I read the National
Semi Plan example. &nbsp;If anyone is
familiar with that notation and
can check it that would be
appreciated. &nbsp;I am also working
on getting flow control working
on serial port - should &nbsp;have
that knocked out this week. &nbsp; I
am still debating how to
generate CIIN for I/O - I am
running right now with CDIS*
jumpered so there is no caching
at the moment.<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal" style="">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal" style="">I
hope to have some things posted
by New Years - depends on how
much I watch football and
celebrate. &nbsp; How are you doing?<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal" style="">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal" style="">Dave<br>
<br>
On Monday, December 29, 2014
3:03:52 PM UTC-6, lynchaj wrote:<o:p></o:p></p>
<div>
<div>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Hi
Dave</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">What&acirc;&#8364;&#8482;s
the latest on the Gryphon
build and test?&nbsp; </span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Please
post photos and updates on
the wiki</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Thanks!<br>
<br>
Andrew Lynch</span><o:p></o:p></p>
<p class="MsoNormal" style=""><span
style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span><o:p></o:p></p>
<div style="border-width:
medium medium medium 1.5pt;
border-style: none none none
solid; border-color:
-moz-use-text-color
-moz-use-text-color
-moz-use-text-color blue;
padding: 0in 0in 0in 4pt;">
<div>
<div style="border-right:
medium none;
border-width: 1pt medium
medium; border-style:
solid none none;
border-color: rgb(181,
196, 223)
-moz-use-text-color
-moz-use-text-color;
padding: 3pt 0in 0in;">
<p class="MsoNormal"
style=""><b><span
style="font-size:
10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size:
10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">
<a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a>
[<a class="moz-txt-link-freetext" href="mailto:***@googlegroups.com">mailto:***@googlegroups.com</a>]
<b>On Behalf Of </b>yoda<br>
<b>Sent:</b> Monday,
December 15, 2014
12:36 PM<br>
<b>To:</b>
<a class="moz-txt-link-abbreviated" href="mailto:***@googlegroups.com">***@googlegroups.com</a><br>
<b>Subject:</b>
[N8VEM: 19098] Re:
An MC68030 SBC
Design</span><o:p></o:p></p>
</div>
</div>
<p class="MsoNormal"
style="">&nbsp;<o:p></o:p></p>
<div>
<p class="MsoNormal"
style="">The Gryphon
roars!!!<o:p></o:p></p>
<div>
<p class="MsoNormal"
style="">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal"
style="">I have now
successfully gotten
the board to send and
receive characters on
the mc68681 chip and
the SRAM passes basic
memory testing so now
I can have a stack and
variables and can
start using C for
programming.<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal"
style="">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal"
style="">There are a
few patches that are
necessary for things
to work. &nbsp;I will be
posting them to the
wiki in the next few
days. &nbsp;I want to redo
one of them
differently as the way
I did it works but may
cause problems later
when I turn on
caching. &nbsp;I have CDIS*
jumper'd at the
moment, because John
Coffman reminded me
that all I/O must be
cache inhibited.
&nbsp;Right now CIN* is
pulled HI - I think I
can remedy that with
another patch (enough
logic still left in
the GALs) so I need to
look at that one. &nbsp;I
quickly tried the DRAM
last night but it
failed so I will have
to pull out Mr
LogicAnalyzer and see
what is going on. &nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal"
style="">&nbsp;<o:p></o:p></p>
</div>
<div>
<p class="MsoNormal"
style="">Just thought
I would give a quick
update - the problem I
had with the MC68681
turned out to be that
the 68030 can write
commands to it too
fast and I had to put
some NOPs in the
initialization section
only. &nbsp;I found that
hidden in one of the
data sheets - not
mentioned in any of
the Motorola
datasheets but was in
a Signetics data
sheet.<o:p></o:p></p>
</div>
</div>
<p class="MsoNormal"
style="">-- <br>
You received this message
because you are subscribed
to the Google Groups
"N8VEM" group.<br>
To unsubscribe from this
group and stop receiving
emails from it, send an
email to <a
moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group,
send email to <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a
moz-do-not-send="true"
href="http://groups.google.com/group/n8vem"
target="_blank">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a
moz-do-not-send="true"
href="https://groups.google.com/d/optout"
target="_blank">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
</div>
</div>
</div>
</div>
</div>
<p class="MsoNormal" style="">-- <br>
You received this message because
you are subscribed to the Google
Groups "N8VEM" group.<br>
To unsubscribe from this group and
stop receiving emails from it, send
an email to <a
moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to
<a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a
moz-do-not-send="true"
href="http://groups.google.com/group/n8vem"
target="_blank">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a
moz-do-not-send="true"
href="https://groups.google.com/d/optout"
target="_blank">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
</div>
</div>
</div>
</div>
</div>
<p class="MsoNormal" style="">-- <br>
You received this message because you are
subscribed to the Google Groups "N8VEM" group.<br>
To unsubscribe from this group and stop
receiving emails from it, send an email to <a
moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem"
target="_blank">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a
moz-do-not-send="true"
href="https://groups.google.com/d/optout"
target="_blank">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
</div>
</div>
</div>
</div>
<p class="MsoNormal" style="">-- <br>
You received this message because you are subscribed
to the Google Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving
emails from it, send an email to <a
moz-do-not-send="true" href="javascript:"
target="_blank">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true" href="javascript:"
target="_blank">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem"
target="_blank">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout"
target="_blank">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
</div>
</div>
</div>
</div>
<p class="MsoNormal">-- <br>
You received this message because you are subscribed to the
Google Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving emails from
it, send an email to <a moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true" href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<o:p></o:p></p>
</div>
-- <br>
You received this message because you are subscribed to the Google
Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving emails from it,
send an email to <a moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<br>
</blockquote>
</body>
</html>

<p></p>

-- <br />
You received this message because you are subscribed to the Google Groups &quot;N8VEM&quot; group.<br />
To unsubscribe from this group and stop receiving emails from it, send an email to <a href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br />
To post to this group, send email to <a href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br />
Visit this group at <a href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br />
For more options, visit <a href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<br />
John Coffman
2015-01-20 16:20:34 UTC
Permalink
<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN">
<html>
<head>
<meta content="text/html; charset=ISO-8859-1"
http-equiv="Content-Type">
</head>
<body bgcolor="#ffffff" text="#000000">
Dave,<br>
<br>
I restarted the entire 68030 design process to go to a 160x100mm
(3U) CPU/memory board (64Mb) using 2 DRAM SIMMs and a pair of PLD's
to serve as the memory controller.&nbsp; This approach is in line with
the original plan for the 68000 series, and is a much lower cost
experiment for learning how to control DRAMs.&nbsp; This board could
serve as a serious upgrade to a Mini-68K system.&nbsp; But, ...<br>
<br>
For the moment this project is set aside because I don't want to get
into a situation of putting out competing boards.&nbsp; One 68030 board
is enough.&nbsp; I hope the DRAM problem on the Gryphon can be solved in
the near future.<br>
<br>
--John<br>
<br>
<br>
<br>
<br>
On 01/19/2015 07:16 PM, yoda wrote:
<blockquote
cite="mid:3b95ad73-b4a5-44d8-b0c0-***@googlegroups.com"
type="cite">
<div dir="ltr">Hi Andrew!!
<div><br>
</div>
<div>I have not had much time to work on it lately but am
planning to get fired up again. &nbsp;SRAM, UART, ROM, and CPU is
working fine. &nbsp;I suspect the parallel port will work with no
problem. &nbsp;I am going to work on the IDE port while I mull over
the DRAM config. &nbsp;I think the GAL equations for the DRAM are
not quite right because the National Semi "Plan Format" is not
the same as "normal" format of other compilers. &nbsp;I suspect it
doesn't honor the pin assignment logic and the equations
ignore the pin logic assignments. &nbsp;I need to sit down with the
timing diagrams and verify the equations. &nbsp;</div>
<div><br>
</div>
<div>I am not sure breaking it up into separate boards will help
a lot. &nbsp;The board is not the difficult to work on. &nbsp;I just
struggle with Dram as I have not done anything like that
before so it is kind of voodoo to me. &nbsp;A lot easier to connect
logic analyzer probes to a single board then spreading amongst
multiple boards. &nbsp;What I really need to do is get the errata
published so that others can look at it as well. &nbsp;I am not
sure what happened with Paul - have not heard from him in a
while - I think he is probably caught up in house moving as he
sold his house and had to box everything up. &nbsp;If you have
spare cycles, it would be nice to get this design into Kicad
so we could edit the schematics directly. &nbsp;I think we also
need to think about what a version 2 board would look like. &nbsp;I
know John Coffman has some ideas and we have been trading
emails. &nbsp;I think we might want something a little simpler to
start with - and have the ethernet and video possibly be
plugin boards or modules as the SMT nature of them might not
suit everyone.</div>
<div><br>
</div>
<div>I guess what makes it slow is work - have to be in the
right frame of mind to sit down and do serious debugging -
been drained with work and the new year - I will get back to
it soon.</div>
<div><br>
</div>
<div>Dave<br>
<br>
On Monday, January 19, 2015 at 2:29:34 PM UTC-6, lynchaj
wrote:
<blockquote class="gmail_quote" style="margin: 0pt 0pt 0pt
0.8ex; border-left: 1px solid rgb(204, 204, 204);
padding-left: 1ex;">
<div link="blue" vlink="purple" lang="EN-US">
<div>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(68, 84, 106);">Hi Dave</span></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(68, 84, 106);">&nbsp;</span></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(68, 84, 106);">Any progress on the Gryphon
project?&nbsp; What is the latest?</span></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(68, 84, 106);">&nbsp;</span></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(68, 84, 106);">I was wondering if it would make
this project easier to break out the core
CPU/SRAM/DRAM/Flash/UART components into a smaller
simpler board?&nbsp; </span></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(68, 84, 106);">&nbsp;</span></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(68, 84, 106);">Get those working properly and
then integrate in the IO components later or on a
separate board.</span></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(68, 84, 106);">&nbsp;</span></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(68, 84, 106);">Thanks</span></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(68, 84, 106);">&nbsp;</span></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(68, 84, 106);">Andrew Lynch</span></p>
<p class="MsoNormal"><span style="font-size: 11pt;
font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;; color:
rgb(68, 84, 106);">&nbsp;</span></p>
<div style="border-width: medium medium medium 1.5pt;
border-style: none none none solid; border-color:
-moz-use-text-color -moz-use-text-color
-moz-use-text-color blue; padding: 0in 0in 0in 4pt;">
<div>
<div style="border-right: medium none; border-width:
1pt medium medium; border-style: solid none none;
border-color: rgb(181, 196, 223)
-moz-use-text-color -moz-use-text-color; padding:
3pt 0in 0in;">
<p class="MsoNormal"><b><span style="font-size:
10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;"> <a
moz-do-not-send="true" href="javascript:"
target="_blank"
gdf-obfuscated-mailto="zebmQ73dpjgJ"
rel="nofollow"
onmousedown="this.href='javascript:';return
true;"
onclick="this.href='javascript:';return
true;">***@googlegroups.com</a> [mailto:<a
moz-do-not-send="true" href="javascript:"
target="_blank"
gdf-obfuscated-mailto="zebmQ73dpjgJ"
rel="nofollow"
onmousedown="this.href='javascript:';return
true;"
onclick="this.href='javascript:';return
true;">***@googlegroups.com</a><wbr>] <b>On
Behalf Of </b>yoda<br>
<b>Sent:</b> Monday, December 29, 2014 4:37 PM<br>
<b>To:</b> <a moz-do-not-send="true"
href="javascript:" target="_blank"
gdf-obfuscated-mailto="zebmQ73dpjgJ"
rel="nofollow"
onmousedown="this.href='javascript:';return
true;"
onclick="this.href='javascript:';return
true;">***@googlegroups.com</a><br>
<b>Subject:</b> Re: [N8VEM: 19164] Re: An
MC68030 SBC Design</span></p>
</div>
</div>
<p class="MsoNormal">&nbsp;</p>
<div>
<p class="MsoNormal">Hi Andrew!!!</p>
<div>
<p class="MsoNormal">&nbsp;</p>
</div>
<div>
<p class="MsoNormal">Well I have serial and Flash
and SRAM working so far. &nbsp;I have about 7 total
errata now counting the ones Paul identified. &nbsp;I
want to try to fix one of them different. &nbsp;After
I verify that one I will be putting up an errata
doc as to what traces need to be cut (3 so far I
think) and patch wires. &nbsp;The Dram is giving me
fits - I think the logic equations are in
correct as I read the National Semi Plan
example. &nbsp;If anyone is familiar with that
notation and can check it that would be
appreciated. &nbsp;I am also working on getting flow
control working on serial port - should &nbsp;have
that knocked out this week. &nbsp; I am still
debating how to generate CIIN for I/O - I am
running right now with CDIS* jumpered so there
is no caching at the moment.</p>
</div>
<div>
<p class="MsoNormal">&nbsp;</p>
</div>
<div>
<p class="MsoNormal">I hope to have some things
posted by New Years - depends on how much I
watch football and celebrate. &nbsp; How are you
doing?</p>
</div>
<div>
<p class="MsoNormal">&nbsp;</p>
</div>
<div>
<p class="MsoNormal">Dave<br>
<br>
On Monday, December 29, 2014 3:03:52 PM UTC-6,
lynchaj wrote:</p>
<div>
<div>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Hi Dave</span></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">What&acirc;&#8364;&#8482;s the
latest on the Gryphon build and test?&nbsp; </span></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Please post
photos and updates on the wiki</span></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">Thanks!<br>
<br>
Andrew Lynch</span></p>
<p class="MsoNormal"><span style="font-size:
11pt; font-family:
&quot;Calibri&quot;,&quot;sans-serif&quot;;
color: rgb(68, 84, 106);">&nbsp;</span></p>
<div style="border-width: medium medium medium
1.5pt; border-style: none none none solid;
border-color: -moz-use-text-color
-moz-use-text-color -moz-use-text-color
blue; padding: 0in 0in 0in 4pt;">
<div>
<div style="border-right: medium none;
border-width: 1pt medium medium;
border-style: solid none none;
border-color: rgb(181, 196, 223)
-moz-use-text-color -moz-use-text-color;
padding: 3pt 0in 0in;">
<p class="MsoNormal"><b><span
style="font-size: 10pt;
font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;">From:</span></b><span
style="font-size: 10pt; font-family:
&quot;Tahoma&quot;,&quot;sans-serif&quot;;"> <a moz-do-not-send="true">***@googlegroups.com</a>
[mailto:<a moz-do-not-send="true">***@googlegroups.com</a><wbr>]
<b>On Behalf Of </b>yoda<br>
<b>Sent:</b> Monday, December 15,
2014 12:36 PM<br>
<b>To:</b> <a
moz-do-not-send="true">***@googlegroups.com</a><br>
<b>Subject:</b> [N8VEM: 19098] Re:
An MC68030 SBC Design</span></p>
</div>
</div>
<p class="MsoNormal">&nbsp;</p>
<div>
<p class="MsoNormal">The Gryphon roars!!!</p>
<div>
<p class="MsoNormal">&nbsp;</p>
</div>
<div>
<p class="MsoNormal">I have now
successfully gotten the board to send
and receive characters on the mc68681
chip and the SRAM passes basic memory
testing so now I can have a stack and
variables and can start using C for
programming.</p>
</div>
<div>
<p class="MsoNormal">&nbsp;</p>
</div>
<div>
<p class="MsoNormal">There are a few
patches that are necessary for things
to work. &nbsp;I will be posting them to
the wiki in the next few days. &nbsp;I want
to redo one of them differently as the
way I did it works but may cause
problems later when I turn on caching.
&nbsp;I have CDIS* jumper'd at the moment,
because John Coffman reminded me that
all I/O must be cache inhibited.
&nbsp;Right now CIN* is pulled HI - I think
I can remedy that with another patch
(enough logic still left in the GALs)
so I need to look at that one. &nbsp;I
quickly tried the DRAM last night but
it failed so I will have to pull out
Mr LogicAnalyzer and see what is going
on. &nbsp;</p>
</div>
<div>
<p class="MsoNormal">&nbsp;</p>
</div>
<div>
<p class="MsoNormal">Just thought I
would give a quick update - the
problem I had with the MC68681 turned
out to be that the 68030 can write
commands to it too fast and I had to
put some NOPs in the initialization
section only. &nbsp;I found that hidden in
one of the data sheets - not mentioned
in any of the Motorola datasheets but
was in a Signetics data sheet.</p>
</div>
</div>
<p class="MsoNormal">-- <br>
You received this message because you are
subscribed to the Google Groups "N8VEM"
group.<br>
To unsubscribe from this group and stop
receiving emails from it, send an email to
<a moz-do-not-send="true">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true">***@googlegroups.com</a>.<br>
Visit this group at <a
moz-do-not-send="true"
href="http://groups.google.com/group/n8vem"
target="_blank" rel="nofollow"
onmousedown="this.href='http://groups.google.com/group/n8vem';return
true;"
onclick="this.href='http://groups.google.com/group/n8vem';return
true;">http://groups.google.com/<wbr>group/n8vem</a>.<br>
For more options, visit <a
moz-do-not-send="true"
href="https://groups.google.com/d/optout"
target="_blank" rel="nofollow"
onmousedown="this.href='https://groups.google.com/d/optout';return
true;"
onclick="this.href='https://groups.google.com/d/optout';return
true;">https://groups.google.com/d/<wbr>optout</a>.</p>
</div>
</div>
</div>
</div>
</div>
<p class="MsoNormal">-- <br>
You received this message because you are subscribed
to the Google Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving
emails from it, send an email to <a
moz-do-not-send="true" href="javascript:"
target="_blank"
gdf-obfuscated-mailto="zebmQ73dpjgJ"
rel="nofollow"
onmousedown="this.href='javascript:';return true;"
onclick="this.href='javascript:';return true;">n8vem+un...@<wbr>googlegroups.com</a>.<br>
To post to this group, send email to <a
moz-do-not-send="true" href="javascript:"
target="_blank"
gdf-obfuscated-mailto="zebmQ73dpjgJ"
rel="nofollow"
onmousedown="this.href='javascript:';return true;"
onclick="this.href='javascript:';return true;">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem"
target="_blank" rel="nofollow"
onmousedown="this.href='http://groups.google.com/group/n8vem';return
true;"
onclick="this.href='http://groups.google.com/group/n8vem';return
true;">http://groups.google.com/<wbr>group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout"
target="_blank" rel="nofollow"
onmousedown="this.href='https://groups.google.com/d/optout';return
true;"
onclick="this.href='https://groups.google.com/d/optout';return
true;">https://groups.google.com/d/<wbr>optout</a>.</p>
</div>
</div>
</div>
</blockquote>
</div>
</div>
-- <br>
You received this message because you are subscribed to the Google
Groups "N8VEM" group.<br>
To unsubscribe from this group and stop receiving emails from it,
send an email to <a moz-do-not-send="true"
href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br>
To post to this group, send email to <a moz-do-not-send="true"
href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br>
Visit this group at <a moz-do-not-send="true"
href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br>
For more options, visit <a moz-do-not-send="true"
href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<br>
</blockquote>
</body>
</html>

<p></p>

-- <br />
You received this message because you are subscribed to the Google Groups &quot;N8VEM&quot; group.<br />
To unsubscribe from this group and stop receiving emails from it, send an email to <a href="mailto:n8vem+***@googlegroups.com">n8vem+***@googlegroups.com</a>.<br />
To post to this group, send email to <a href="mailto:***@googlegroups.com">***@googlegroups.com</a>.<br />
Visit this group at <a href="http://groups.google.com/group/n8vem">http://groups.google.com/group/n8vem</a>.<br />
For more options, visit <a href="https://groups.google.com/d/optout">https://groups.google.com/d/optout</a>.<br />
Brian Marstella
2015-03-22 18:11:24 UTC
Permalink
I'm looking forward to seeing a release board as well; wish I had some time
to help out although I think you're in well past my level of knowledge at
this point.

For those that might not know, Easy68K seems to work perfectly fine under
Linux as well using WINE.
--
You received this message because you are subscribed to the Google Groups "N8VEM" group.
To unsubscribe from this group and stop receiving emails from it, send an email to n8vem+***@googlegroups.com.
To post to this group, send email to ***@googlegroups.com.
Visit this group at http://groups.google.com/group/n8vem.
For more options, visit https://groups.google.com/d/optout.
Loading...